• 首页 >  信息科技 >  半导体
  • 2024光刻机行业市场格局、国产替代现状及相关标的分析报告(107页).pdf

    定制报告-个性化定制-按需专项定制研究报告

    行业报告、薪酬报告

    联系:400-6363-638

  • 《2024光刻机行业市场格局、国产替代现状及相关标的分析报告(107页).pdf》由会员分享,可在线阅读,更多相关《2024光刻机行业市场格局、国产替代现状及相关标的分析报告(107页).pdf(107页珍藏版)》请在本站上搜索。 1、2 0 2 4 年深度行业分析研究报告 40102040305光刻:集成电路制造核心环节光刻:集成电路制造核心环节技术:光源、数值孔径、工艺系数、机台四轮驱动,共促技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级光刻产业升级市场:一超两强格局稳定,新建晶圆厂市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求产线扩产拉动需求破局:师夷长技以制夷,星星之火可燎原破局:师夷长技以制夷,星星之火可燎原相关标的相关标的目录目录 5分目录分目录01光刻:集成电路制造核心环节光刻:集成电路制造核心环节1.1 1.1 光刻三剑客:光刻机光刻三剑客:光刻机+光刻胶光刻胶+光掩膜光掩膜1.2 1.2、2 光刻机:通过光源将光掩膜上图形投射于硅片光刻机:通过光源将光掩膜上图形投射于硅片1.3 1.3 光刻胶:在曝光区发生光固化反应光刻胶:在曝光区发生光固化反应1.4 1.4 光掩膜:图形转移工具或母版光掩膜:图形转移工具或母版1.5 1.5 集成电路制造流程集成电路制造流程1.6 1.6 集成电路制造资本开支结构集成电路制造资本开支结构1.7 1.7 光刻工艺:各步骤环环相扣,光刻机代表产线先进程度光刻工艺:各步骤环环相扣,光刻机代表产线先进程度1.8 1.8 发展历程:投影光刻机为当前发展历程:投影光刻机为当前ICIC制造主流选择制造主流选择02技术:光源、数值孔径、工艺系数、机台四轮驱动3、,共促光刻产业升级技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级2.1 2.1 分辨率由光源波长、数值孔径、光刻工艺因子决定分辨率由光源波长、数值孔径、光刻工艺因子决定2.2 2.2 光源波长(光源波长()光源光源2.2.1 2.2.1 原理:其他条件不变下,光源波长越短,光刻机分原理:其他条件不变下,光源波长越短,光刻机分辨率越高辨率越高2.2.2 2.2.2 发展:高压汞灯光刻光源发展:高压汞灯光刻光源深紫外光光源深紫外光光源极紫外极紫外光光源光光源2.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):光源):LLPLLP光源较为稳定,且碎屑光源较为稳定,且碎屑量4、较低,适用于大规模量产量较低,适用于大规模量产2.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):高功率、转换效率为光源):高功率、转换效率为EUVEUV光光刻必要条件刻必要条件2.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):液滴光源):液滴SnSn靶易于操控,转换效靶易于操控,转换效率较高率较高 6分目录分目录02技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级2.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):加入预脉冲可以极大提高光源):加入预脉冲可以极大提高CECE,双脉5、冲成为主流双脉冲成为主流2.2.4 2.2.4 现状:现状:CymerCymer与与GigphotonGigphoton几乎垄断全球激光光刻机几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空白光源产业,科益虹源弥补技术空白2.2.5 2.2.5 趋势:输出功率、脉冲能量整体呈现上升趋势,光趋势:输出功率、脉冲能量整体呈现上升趋势,光谱线宽呈现收窄趋势谱线宽呈现收窄趋势2.2.6 2.2.6 弯道超车:稳态微聚束弯道超车:稳态微聚束(SSMB)(SSMB)为极紫外光的产生提为极紫外光的产生提供新方法供新方法2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜2.3.1 2.3.1 原理:其他6、条件不变下,数值孔径越大,光刻机分原理:其他条件不变下,数值孔径越大,光刻机分辨率越高辨率越高2.3.2 2.3.2 路径(物镜结构):从路径(物镜结构):从“双腰双腰”到到“单腰单腰”,引入,引入非球面镜片非球面镜片2.3.2 2.3.2 路径(投影方式):折反式使用较少光学元件实现路径(投影方式):折反式使用较少光学元件实现更大数值孔径并实现场曲矫正更大数值孔径并实现场曲矫正2.3.2 2.3.2 路径(像方介质折射率):浸没式光刻提供更大焦路径(像方介质折射率):浸没式光刻提供更大焦深并支持高深并支持高NANA成像成像 2.3.3 2.3.3 制造:物镜加工精度确保光线高精度聚焦制造:物7、镜加工精度确保光线高精度聚焦2.3.4 2.3.4 现状:高端光学元件超精密制造技术及装备成为制现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大短板约高端装备制造业发展重大短板2.4 2.4 工艺系数工艺系数计算光刻技术计算光刻技术2.4.1 2.4.1 光学邻近效应校正(光学邻近效应校正(OPCOPC):对掩膜图形进行预畸):对掩膜图形进行预畸变处理,补偿光学邻近效应误差变处理,补偿光学邻近效应误差2.4.2 2.4.2 光源光源-掩膜协同优化技术(掩膜协同优化技术(SMOSMO):结合):结合SOSO与与OPCOPC技技术,提高设计自由度,扩大工艺窗口术,提高设计自由度8、,扩大工艺窗口 7分目录分目录02技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级2.4.3 2.4.3 多重图形技术(多重图形技术(MPTMPT):):LELELELE,主要原理为化繁,主要原理为化繁为简为简2.4.3 2.4.3 多重图形技术(多重图形技术(MPTMPT):):SADPSADP,一次光刻后相继,一次光刻后相继使用非光刻工艺实现图形密度加倍使用非光刻工艺实现图形密度加倍2.4.4 2.4.4 逆光刻技术(逆光刻技术(ILTILT):已知光刻结果,反推出光):已知光刻结果,反推出光源、光掩膜等调整参数源9、、光掩膜等调整参数2.4.5 2.4.5 现状:国内市场被国际巨头垄断,东方晶源、宇现状:国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白微光学填补国内空白2.5 2.5 双工作台系统:精确对准双工作台系统:精确对准+光刻机产能的关键光刻机产能的关键2.5.1 2.5.1 组成:由掩膜台系统、硅片台系统和控制系统三组成:由掩膜台系统、硅片台系统和控制系统三大部分组成大部分组成2.5.2 2.5.2 功能:负责对准调平,精密定位,接送硅片功能:负责对准调平,精密定位,接送硅片2.5.3 2.5.3 难点:快、准、稳难点:快、准、稳03市场:一超两强格局稳定,新建晶圆厂市场:一超两强格局稳定10、,新建晶圆厂+产线扩产拉动需求产线扩产拉动需求3.1 3.1 光刻机产业链图谱光刻机产业链图谱3.2 3.2 光刻机供应链核心环节光刻机供应链核心环节3.3 3.3 发展:技术发展:技术&成本综合驱动光刻机发展成本综合驱动光刻机发展3.4 3.4 格局:一超两强格局稳定,格局:一超两强格局稳定,ASMLASML为为EUVEUV光刻机独光刻机独家供应商家供应商3.5 3.5 出货:出货:EUVEUV光刻增速最快,光刻增速最快,KrFKrF与与l-linel-line仍为主要仍为主要需求类型需求类型 8分目录分目录03市场:一超两强格局稳定,新建晶圆厂市场:一超两强格局稳定,新建晶圆厂+产线扩产拉11、动需求产线扩产拉动需求3.6 3.6 现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标CANONCANON3.7 3.7 需求需求3.7.1 3.7.1 经济衰退后出现显著增长,人工智能有望开启新周期经济衰退后出现显著增长,人工智能有望开启新周期3.7.2 253.7.2 25年晶圆厂设备支出有望超年晶圆厂设备支出有望超1,2001,200亿美元亿美元3.7.3 3.7.3 全球新建晶圆厂浪潮带动光刻机需求全球新建晶圆厂浪潮带动光刻机需求3.7.4 3.7.4 中国晶圆厂独占鳌头,预计至中国晶圆厂独占鳌头,预计至20242024年底建12、立年底建立5050座大型晶圆厂座大型晶圆厂3.7.5 3.7.5 全球全球8 8寸、寸、1212寸晶圆产能有望持续提升,带动光刻机需求寸晶圆产能有望持续提升,带动光刻机需求3.7.6 3.7.6 下游应用蓬勃发展,下游应用蓬勃发展,20302030年半导体规模有望破万亿美元年半导体规模有望破万亿美元04破局:师夷长技以制夷,星星之火可燎原破局:师夷长技以制夷,星星之火可燎原4.1 ASML4.1 ASML:光刻机行业绝对霸主,实力远超同业:光刻机行业绝对霸主,实力远超同业4.1.1 4.1.1 产品迭代:产品迭代:EUV+DUVEUV+DUV全面覆盖市场,全面覆盖市场,EUVEUV负责超负责超13、高端市场,高端市场,DUVDUV负责中高端负责中高端4.1.2 DUV4.1.2 DUV:NXTNXT平台显著提升平台显著提升KrFKrF及及ArFArF光刻机生产效光刻机生产效率率4.1.3 EUV4.1.3 EUV:首批:首批EXEEXE平台光刻机将于平台光刻机将于2323年交与客户,年交与客户,有望于有望于2525年量产年量产4.1.4 4.1.4 研发与并购:研发费用逐年增长研发与并购:研发费用逐年增长+收购前沿技收购前沿技术公司巩固技术壁垒术公司巩固技术壁垒 9分目录分目录04破局:师夷长技以制夷,星星之火可燎原破局:师夷长技以制夷,星星之火可燎原4.1.5 4.1.5 供应链:全球14、化供应链条,供应商共计供应链:全球化供应链条,供应商共计5,0005,000家家4.1.6 4.1.6 生态:上下游龙头公司紧密合作,产学研深入发生态:上下游龙头公司紧密合作,产学研深入发展带动技术革新展带动技术革新4.2 Nikon4.2 Nikon:核心技术自主可控,产品服务导向构建良性:核心技术自主可控,产品服务导向构建良性生态循环生态循环4.2.1 4.2.1 战略:以高质量与高附加值服务为基础,构建良战略:以高质量与高附加值服务为基础,构建良性生态循环性生态循环4.2.2 4.2.2 驱动增长:化繁为简,少即是多,复制数码相机驱动增长:化繁为简,少即是多,复制数码相机成功道路成功道路15、4.2.3 4.2.3 技术:核心技术自主可控,贡献长期增长动力技术:核心技术自主可控,贡献长期增长动力4.3 Canon4.3 Canon:技术整合赋能新价值,押注纳米压印光刻:技术整合赋能新价值,押注纳米压印光刻4.3.1 4.3.1 产品:芯片小型化与多样化对设备提出进一步要产品:芯片小型化与多样化对设备提出进一步要求求4.3.2 4.3.2 优势:多领域核心技术构筑强大竞争实力优势:多领域核心技术构筑强大竞争实力4.3.3 4.3.3 技术:纳米压印较技术:纳米压印较EUVEUV技术功耗降低技术功耗降低90%90%,性价比,性价比优势凸显优势凸显4.4 4.4 国内进展:乘风破浪会有时16、,直挂云帆济沧海国内进展:乘风破浪会有时,直挂云帆济沧海05相关标的相关标的5.15.1芯碁微装(直写光刻):产品矩阵不断突破,技术参芯碁微装(直写光刻):产品矩阵不断突破,技术参数行业领先数行业领先5.25.2富创精密(零部件):全球位数不多可供应富创精密(零部件):全球位数不多可供应7nm7nm精密精密零部件零部件 10分目录分目录05相关标的相关标的5.35.3炬光科技(光学器件):相关光场匀化器产品为顶级光炬光科技(光学器件):相关光场匀化器产品为顶级光学公司供应学公司供应5.45.4赛微电子(物镜):可生产光刻机透镜系统和赛微电子(物镜):可生产光刻机透镜系统和MEMSMEMS器件器17、件5.55.5波长光电:已具备提供光刻机配套的大孔径光学镜头能波长光电:已具备提供光刻机配套的大孔径光学镜头能力力5.65.6奥普光电(整机):光机装调技术水平在多应用技术领奥普光电(整机):光机装调技术水平在多应用技术领域处于国内领先水平域处于国内领先水平5.75.7腾景科技(光学器件):合分束器项目处于样品试制阶腾景科技(光学器件):合分束器项目处于样品试制阶段段5.85.8福晶科技(光源):全球非线性光学晶体龙头厂商福晶科技(光源):全球非线性光学晶体龙头厂商5.95.9茂莱光学:精密光学领域稀缺性标的,产品进入上海微茂莱光学:精密光学领域稀缺性标的,产品进入上海微电子供应链电子供应链518、.105.10上海微电子上海微电子(整机整机):):保留光刻革命火种,静待保留光刻革命火种,静待28nm28nm光刻光刻机王者归来机王者归来5.115.11华卓精科(工件台):国内首家自主研发并商业化的华卓精科(工件台):国内首家自主研发并商业化的双工件台厂商双工件台厂商userid:93117,docid:168650,date:2024-07-22, 11分目录分目录01光刻:集成电路制造核心环节光刻:集成电路制造核心环节1.1 1.1 光刻三剑客:光刻机光刻三剑客:光刻机+光刻胶光刻胶+光掩膜光掩膜1.2 1.2 光刻机:通过光源将光掩膜上图形投射于硅片光刻机:通过光源将光掩膜上图形投射19、于硅片1.3 1.3 光刻胶:在曝光区发生光固化反应光刻胶:在曝光区发生光固化反应1.4 1.4 光掩膜:图形转移工具或母版光掩膜:图形转移工具或母版02040305技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级市场:一超两强格局稳定,新建晶圆厂市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求产线扩产拉动需求破局:师夷长技以制夷,星星之火可燎原破局:师夷长技以制夷,星星之火可燎原相关标的相关标的1.5 1.5 集成电路制造流程集成电路制造流程1.6 1.6 光刻工艺:光刻工艺:8 8个步骤,光刻机代表产线先进程度20、个步骤,光刻机代表产线先进程度1.7 1.7 分类:无掩膜光刻机与有掩膜光刻分类:无掩膜光刻机与有掩膜光刻1.8 1.8 光刻机发展历程光刻机发展历程 12资料来源:路维光电招股说明书、ASML官网、南大光电、芯片半导体实验室、半导体材料与工艺设备、华金证券研究所1.1 1.1 光刻三剑客:光刻机光刻三剑客:光刻机+光刻胶光刻胶+光掩膜光掩膜u 光刻工艺是指集成电路制造中利用光学-化学反应原理和化学、物理刻蚀方法,将电路图形传递到单晶表面或介质层上,形成有效图形窗口或功能图形的工艺技术。u 光刻工艺可以理解为使用光刻技术进行某一类加工的一种工艺;而光刻技术是则指在光照作用下,借助光致抗蚀剂(即21、:光刻胶)将掩膜版上的图形转移到基片上的技术。典型的光刻工艺流程包括衬底制备、涂胶、前烘、曝光、显影、坚膜、腐蚀、去胶等。在光刻中主要使用工具及材料为光掩膜、光刻机及光刻胶。TWINSCAN EXE:5000南大光电光刻胶路维光电掩膜版 13资料来源:电子发烧友、数字化企业、华金证券研究所1.2 1.2 光刻机:通过光源将光掩膜上图形投射于硅片光刻机:通过光源将光掩膜上图形投射于硅片激光器激光器光束矫正器光束矫正器(共三个)(共三个)能量控制器能量控制器光束形状设置光束形状设置遮光器遮光器掩膜版掩膜版掩膜台掩膜台曝光台测量台测量设备测量设备硅片硅片减振装置减振装置内部封闭框架内部封闭框架物镜物22、镜u 光刻机:类似纳米级打印机,通过光源将光掩膜上图形母版投射在硅片上。u 工作原理:利用光刻机发出的光通过具有图形的光置对涂有光刻胶的硅片曝光,光刻胶见光后会发生性质变化,从而使光置上得图形复印到硅片上,从而使硅片具有电子线路图的作用。这就是光刻的作用,类似照相机照相。照相机拍摄的照片是印在底片上,而光刻机刻的不是照片,是电路图和其他电子元件。光刻机工作原理图 14资料来源:集成电路产业全书(王阳元)、响拇指、华金证券研究所1.3 1.3 光刻胶:在曝光区发生光固化反应光刻胶:在曝光区发生光固化反应u 光刻胶:又称光致抗蚀剂,组分主要包括成膜树脂、感光组分、微量添加剂和溶剂。其中成膜树脂用于23、提供机械性能和抗刻蚀能力;感光组分在光照下发生化学变化,引起溶解速度的改变;微量添加剂包括染料、增黏剂等,用以改善光刻胶性能;溶剂用于溶解各组分,使之均匀混合。u 原理:将光刻胶涂布在衬底,通过前烘去除其中溶剂;其次,透过掩膜版进行曝光,使曝光部分感光组分发生化学反应,再进行曝光后烘烤;最后通过显影将光刻胶部分溶解(对于正性光刻胶,曝光趋于被溶解;对于负性光刻胶,未曝光区域被溶解),从而实现图形从掩膜版到衬底片之间转移。光刻胶工作原理图光刻胶成像制版过程 15资料来源:集成电路产业全书(王阳元)、芯碁微装招股说明书、华金证券研究所1.4 1.4 光掩膜:图形转移工具或母版光掩膜:图形转移工具或24、母版分类分类优点优点缺点缺点接触式光掩膜真空接触图形接触式转移可保证成像过程的复制质量,避免引人放大率光学误差,在特定应用范围内具有优势由于直接接触,光刻胶会污染掩膜版,造成磨损累积缺陷,影响掩膜版的使用寿命软接触硬接触投影光掩膜匀胶铬版光掩膜通过带有棱镜系统的微影光刻机投影曝光,将光掩膜图形移转到圆片上,避免光刻胶与掩膜版直接接触导致污染,且具有高耐久性、高分辨率及易清洁处理等特性。N.A.移相光掩膜不透光钼光掩膜极紫外光掩膜u 掩膜版又称光罩、光掩膜等,是微电子制造过程中图形转移工具或母版,用于下游电子元器件行业批量复制生产。掩膜版在生产中起到承上启下的关键作用,是产业链中不可或缺的重要环25、节。光掩膜可分为接触式光掩膜(真空接触、软接触及硬接触)及投影光掩膜(匀胶铬版光掩膜、移相光掩膜、不透光钼光掩膜及极紫外光掩膜)。光掩膜分类接近/接触式光刻以及投影式光刻示意图相较于接触式光刻和接近式光刻技术,投影式光刻技术更加先进,通过投影的原理能够在使用相同尺寸使用相同尺寸掩膜版的情况下获得更小比例的图掩膜版的情况下获得更小比例的图像,从而实现更精细的成像像,从而实现更精细的成像。161.5 1.5 集成电路制造流程集成电路制造流程资料来源:中为咨询、华金证券研究所 171.6 1.6 集成电路制造资本开支结构集成电路制造资本开支结构资料来源:Gartner、屹唐股份招股说明书、华金证券研26、究所厂房建设20%-30%设计:2%-7%土建设施:30%-40%洁净室分工:50%-70%机电系统:25%-35%洁净室系统:25%-35%设备投资70%-80%硅片制造:1%-5%长晶&切磨抛设备:2%薄膜沉积设备:20%光刻设备:20%刻蚀/去胶设备:20%工艺控制设备:11%清洗/CMP设备:8%退火/扩散/注入设备:5%其他加工设备:8%封装设备:40%-45%CP&测试设备:55%-60%芯片制造:75%-80%硅片制造:15%-20%集成电路制造产线设备投资中,设备投资占比约为70%-80%,在设备投资中芯片制造设备占比为75%-80%,光刻机占制造设备投资2 0%,故光刻机占光27、刻机占产线投资产线投资1 0.5%-12.8%18资料来源:集成电路产业全书(王阳元)、芯源微招股说明书、华金证券研究所1.7 1.7 光刻工艺:各步骤环环相扣,光刻机代表产线先进程度光刻工艺:各步骤环环相扣,光刻机代表产线先进程度底膜准备旋转涂胶软烘对准与曝光曝光后烘显影坚膜烘烤显影检测u底膜准备:主要是清洗和脱水。污染物会减弱光刻胶与硅片之间附着力,彻底的清洗可以提升硅片与光刻胶之间黏附性。u旋转涂胶:通过旋转硅片方式实现。不同光刻胶要求不同涂胶工艺参数,包括旋转速度、胶厚度和温度等。u软烘:通过烘烤提高光刻胶与硅片黏附性及光刻胶厚度均匀性,利于后续刻蚀工艺几何尺寸精密控制。u对准与曝光:28、将掩膜版图形与硅片已有对准,用特定光照射,激活光刻胶中光敏成分,从而将掩膜版图形转移到光刻胶。u曝光后烘:通过加热让光刻胶中光化学反应充分完成,弥补曝光强度不足问题,并减少光刻胶显影后因驻波效应产生纹路。u显影与冲洗:将曝光光刻胶溶解清除,市光掩膜图形浮现在光刻胶。u坚膜:将显影后的光刻胶中剩余溶剂、显影液、水及其他不必要残留成分通过加热蒸发去除,提高光刻胶与硅衬底黏附性及光刻胶抗刻蚀能力。u显影检测:即检查显影后光刻胶图形缺陷。利用图像识别技术,自动扫描显影后芯片图形,与预存无缺陷标准图形进行比对,若发现有不同之处,就视为存在缺陷。光刻工艺流程 19资料来源:Mittuniversitete29、t,DRAMeXchange,华金证券研究所1、清洗清洗表面处理旋涂前烘对准&曝光曝光后烘烤显影后烘检测掺杂刻蚀去除光刻胶通过不合格1化学清洗2冲洗3干燥作用:清除污染物,提升光刻胶附着力1烘烤去除水分HMDS(六甲基二硅氮烷)涂层2形成HMDS涂层HMDS涂层3冷却2、表面处理作用:去除多余水分、形成HMDS涂层增强光刻胶黏性1.7 1.7 光刻工艺:各步骤环环相扣,光刻机代表产线先进程度光刻工艺:各步骤环环相扣,光刻机代表产线先进程度u光刻工艺决定着芯片的最小特征尺寸,包括清洗、表面处理、旋涂、前烘、对准和曝光、后烘、显影等数个流程。u根据DRAMeXchange数据,光刻工艺约占芯片制造30、成本的30%,约占芯片制造总耗时的40%-50%。光刻工艺流程图 20资料来源:Mittuniversitetet,华金证券研究所真空泵吸盘晶圆吸盘光刻胶分配器喷嘴主轴真空泵光刻胶分配器喷嘴晶圆吸盘主轴旋涂装置示意图真空泵防水套管排气口吸盘光刻胶光刻胶回吸边缘料去除晶圆排料口化学试剂方式一:化学去除方式二:光照去除光源方式一:传送至已加热的盘方式二:对流加热炉(多片)方式三:微波加热方式一:接触式对准曝光方式二:接近式对准曝光方式三:投影式曝光3、旋涂作用:将光刻胶均匀涂布整个晶圆4、边缘料去除(EBR)作用:去除边缘料方式:化学去除/光照去除5、前烘作用:去除溶剂;减少薄膜应力提高光刻胶粘附31、性6、对准曝光作用:将掩膜版上的图案转移至光刻胶上四种方式:接触式、接近式、投影式、步进式1.7 1.7 光刻工艺:各步骤环环相扣,光刻机代表产线先进程度光刻工艺:各步骤环环相扣,光刻机代表产线先进程度 21资料来源:Mittuniversitetet,华金证券研究所方式四:步进式曝光烘烤方式与前烘相同显影装置示意图冲洗剂:TMHZ用去离子水二甲苯用乙酸正丁酯显影液:正胶用TMAH(CH3)4NOH)负胶用二甲苯显影液12旋转均匀厚度3显影完成冲洗过度加热则会影响分辨率6、对准曝光7、曝光后烘烤作用:平滑侧壁,减少驻波效应的影响;对DUV光刻胶,还可提高感光材料所需能量8、显影作用:显现光刻胶32、层图形涂布显影液9、后烘/坚膜作用:去除所有溶剂,提高光刻胶附着力,坚膜以提高光刻胶在离子注入或刻蚀中保护下表面的能力,减少缺陷如填充针孔加热使光刻胶成熔融态,进而流动减少缺陷1.7 1.7 光刻工艺:各步骤环环相扣,光刻机代表产线先进程度光刻工艺:各步骤环环相扣,光刻机代表产线先进程度 22资料来源:集成电路产业全书(王阳元)、华金证券研究所4 4倍步倍步进扫描进扫描光刻机光刻机有掩膜光刻接触式光刻接近式光刻无掩膜光刻光学投影光刻X射线曝光机微压印定向组装DSA折射率高于水的液体浸没光刻NA1.35193nm浸没式多曝光偏振成像计算光刻一体化光刻真空极紫外光刻一体化光刻EUV,NA0.5多电33、子束光刻离子束直写电子束直写光学无掩膜光刻1倍深紫外扫描机1倍宽带扫描机10倍5倍步进重复光刻机浸没式光刻机1.81.8 发展历程:投影光刻机为当前发展历程:投影光刻机为当前IC制造主流选择制造主流选择 23光刻机无掩膜光刻机有掩膜光刻机电子束直写光刻机离子束直写光刻机激光直写光刻机投影光刻机投影光刻机接近式光刻机接触式光刻机辐射源不同辐射源不同调整掩膜版和衬底相对位置和角度实现对准曝光的曝光方式。调整掩膜版和衬底相对位置和角度实现对准曝光的曝光方式。其理论分辨率可达到0.5um,但由于掩膜版和衬底接触,沾污严重,因此工业生产中一般只在一般只在3um以上的情况下采用此方式以上的情况下采用此方式34、。目前在研发领域仍然有大规模的应用,在工业领域则集中在LED、MEMS和先进封装和先进封装等不需要高分辨率的领域。通过光学投影成像系统,将中间通过光学投影成像系统,将中间掩膜版图形按照所需要的倍率缩掩膜版图形按照所需要的倍率缩小,通过缩小透镜在涂有光刻胶小,通过缩小透镜在涂有光刻胶的衬底表面曝光成像。的衬底表面曝光成像。该类曝光该类曝光技术是目前技术是目前IC生产领域的主流。生产领域的主流。利用聚焦激光束直接在涂覆有光刻胶的衬底上描绘图形的光刻技术,通常采用旋转通常采用旋转反射镜阵列反射镜阵列来实现大量激光束同时扫描的功能,分辨率分辨率为为500nm到到100nm。利用计算机输入的地址和图形数35、据,控制聚焦电子束在涂敷有电子束光刻胶的衬底上直写曝光。该技术最细线条光该技术最细线条光刻图案可达到刻图案可达到2nm。离子的质量要远大于电子,它能有效地避免电子束散射的问题离子束光刻能获得比电子束光刻更高的分辨率,其最其最小的分辨率能达到小的分辨率能达到5nm。掩膜版与机台距离掩膜版与机台距离资料来源:集成电路产业全书(王阳元)、电子发烧友、云谷半导体材料、科汇华晟、华金证券研究所近场菲涅尔衍射成像远场傅里叶光学成像其特点是灵活性高,可柔性制作集成电路。但生产效率很低,一般用于集成电路器件原型的研制验证制作、光刻掩膜版的制作等。利用掩膜来遮挡和透射光线,通过聚焦和投射光源在光敏材料上形成图案36、。掩膜光刻机具有成熟技术和广泛应用领域,是芯片制造行业基础设备。1.81.8 发展历程:投影光刻机为当前发展历程:投影光刻机为当前IC制造主流选择制造主流选择 24投影光刻机步进重复式光刻机扫描投影式光刻步进扫描式光刻机扫描投影式光刻采用一倍掩膜版,实现了掩膜图形与晶圆尺寸1:1的曝光比例。光源固定,而掩膜和晶圆同时向相反方向移动,完成了一次高效的光刻过程。实现1:1曝光掩膜与晶圆比例可大于1:1精度与均匀性的完美结合随着晶圆尺寸的不断增大,1:1的曝光比例对透镜尺寸、折射率和均匀性提出更高要求。步进重复式光刻机解决了这一难题,曝光区域从整个晶圆变为一块矩形区域,实现了掩膜与晶圆比例可大于1:37、1。通过反向扫描完成一个Die的曝光过程,而步进运动则通过步进器将硅片台的曝光位置从一个Die移动到下一个Die。这一方案进一步缩小曝光区域,降低了光学复杂度,提高了精度和均匀性。资料来源:半导体与物理、华金证券研究所不适用掩膜版,而是使用光罩不适用掩膜版,而是使用光罩因为光罩包含与一个或多个芯片相对应的单个曝光场中的图案,而掩膜版包含所有芯片阵列1.81.8 发展历程:投影光刻机为当前发展历程:投影光刻机为当前IC制造主流选择制造主流选择 25分目录分目录01光刻:集成电路制造核心环节光刻:集成电路制造核心环节02技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级技术:光源、数值38、孔径、工艺系数、机台四轮驱动,共促光刻产业升级2.1 2.1 分辨率由光源波长、数值孔径、光刻工艺因子决定分辨率由光源波长、数值孔径、光刻工艺因子决定2.2 2.2 光源波长(光源波长()光源光源2.2.1 2.2.1 原理:其他条件不变下,光源波长越短,光刻机分辨率越高原理:其他条件不变下,光源波长越短,光刻机分辨率越高2.2.2 2.2.2 发展:高压汞灯光刻光源发展:高压汞灯光刻光源深紫外光光源深紫外光光源极紫外光光源极紫外光光源2.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):光源):LLPLLP光源较为稳定,且碎屑量较低,适用于大规模量产光源较为稳定,且碎屑量较低,适用39、于大规模量产2.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):高功率、转换效率为光源):高功率、转换效率为EUVEUV光刻必要条件光刻必要条件2.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):液滴光源):液滴SnSn靶易于操控,转换效率较高靶易于操控,转换效率较高2.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):加入预脉冲可以极大提高光源):加入预脉冲可以极大提高CECE,双脉冲成为主流,双脉冲成为主流2.2.4 2.2.4 现状:现状:CymerCymer与与GigphotonGigphoton几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空几乎垄40、断全球激光光刻机光源产业,科益虹源弥补技术空白白2.2.5 2.2.5 趋势:输出功率、脉冲能量整体呈现上升趋势,光谱线宽呈现收窄趋势趋势:输出功率、脉冲能量整体呈现上升趋势,光谱线宽呈现收窄趋势2.2.6 2.2.6 弯道超车:稳态微聚束弯道超车:稳态微聚束(SSMB)(SSMB)为极紫外光的产生提供新方法为极紫外光的产生提供新方法2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜2.3.1 2.3.1 原理:其他条件不变下,数值孔径越大,光刻机分辨率越高原理:其他条件不变下,数值孔径越大,光刻机分辨率越高2.3.2 2.3.2 路径(物镜结构):从路径(物镜结构):从“双腰双腰”到到“41、单腰单腰”,引入非球面镜片,引入非球面镜片2.3.2 2.3.2 路径(投影方式):折反式使用较少光学元件实现更大数值孔径并实现场曲矫正路径(投影方式):折反式使用较少光学元件实现更大数值孔径并实现场曲矫正2.3.2 2.3.2 路径(像方介质折射率):浸没式光刻提供更大焦深并支持高路径(像方介质折射率):浸没式光刻提供更大焦深并支持高NANA成像成像 2.3.3 2.3.3 制造:光刻机所用波长越短,对物镜加工精度要求就越高制造:光刻机所用波长越短,对物镜加工精度要求就越高2.3.4 2.3.4 现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大现状:高端光学元件超精密制造42、技术及装备成为制约高端装备制造业发展重大短板短板2.4 2.4 工艺系数工艺系数计算光刻技术计算光刻技术2.4.1 2.4.1 光学邻近效应校正(光学邻近效应校正(OPCOPC):对掩膜图形进行预畸变处理,补偿光学邻近效应误):对掩膜图形进行预畸变处理,补偿光学邻近效应误差差2.4.2 2.4.2 光源光源-掩膜协同优化技术(掩膜协同优化技术(SMOSMO):结合):结合SOSO与与OPCOPC技术,提高设计自由度,扩大工技术,提高设计自由度,扩大工艺窗口艺窗口2.4.3 2.4.3 多重图形技术(多重图形技术(MPTMPT):):LELELELE,主要原理为化繁为简,主要原理为化繁为简2.443、.3 2.4.3 多重图形技术(多重图形技术(MPTMPT):):SADPSADP,一次光刻后相继使用非光刻工艺实现图形密度加,一次光刻后相继使用非光刻工艺实现图形密度加倍倍2.4.4 2.4.4 逆光刻技术(逆光刻技术(ILTILT):已知光刻结果,反推出光源、光掩膜等调整参数):已知光刻结果,反推出光源、光掩膜等调整参数2.4.5 2.4.5 现状:国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白现状:国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白2.5 2.5 双工作台系统:精确对准双工作台系统:精确对准+光刻机产能的关键光刻机产能的关键2.5.1 2.5.1 组成:由掩膜44、台系统、硅片台系统和控制系统三大部分组成组成:由掩膜台系统、硅片台系统和控制系统三大部分组成2.5.2 2.5.2 功能:负责对准调平,精密定位,接送硅片功能:负责对准调平,精密定位,接送硅片2.5.3 2.5.3 难点:快、准、稳难点:快、准、稳 26资料来源:半导体行业观察、Semi Connect、华金证券研究所Rk1NA与光刻机工艺相关系数光源波长物镜数值孔径n 投影物镜系统像方介质折射率投影物镜像方半孔径角u分辨率:指光刻机能够将掩膜版电路图形在衬底面光刻胶上转印的最小极限特征尺寸。通常,分辨率用该极限电路图形半节距表示。u瑞利准则:理想的成像系统,一个点所成像是一个完美点,但实际光45、学系统中透镜具有一定的孔径大小,由此导致所成像不是一个点,而为一个艾里斑(中心是一块明亮区域,周围是一系列亮度不断降低的同心圆环)。对于两个距离较近的点,所成光斑距离同样较近。能够区分两个光斑的最小距离,即分辨率。当一个艾里斑中心与另一个艾里斑第一极小值重合时,达到极限点,该极限被称为瑞利准则。分辨率由光源波长、数值孔径、光刻工艺因子决定分辨率极限示意图2.1 2.1 分辨率由光源波长、数值孔径、光刻工艺因子决定分辨率由光源波长、数值孔径、光刻工艺因子决定 27资料来源:ZEISS、高数值孔径投影光刻物镜的光学设计(徐明飞)、华金证券研究所u 孔径角与透镜有效直径成正比,与焦距成反比。孔径角与46、透镜有效直径成正比,与焦距成反比。孔径角又称“镜口角”,是透镜光轴上的物体点与物镜前透镜有效直径所形成角度。孔径角越大,进入透镜光通量就越大,它与透镜有效直径成正比,与焦点距离成反比。u 增大数值孔径、缩短波长、减小光刻工艺因子可提高分辨率。增大数值孔径、缩短波长、减小光刻工艺因子可提高分辨率。根据瑞利准则光刻机分辨率由光源波长、数值孔径、光刻工艺因子决定。因此可以从以下三方面提高分辨率:1)增大投影光刻物镜的数值孔径;2)缩短曝光波长;3)减小光刻工艺因子。孔径角示意图与分辨率相关的参数变化趋势2.1 2.1 分辨率由光源波长、数值孔径、光刻工艺因子决定分辨率由光源波长、数值孔径、光刻工艺因47、子决定 282.2.1 2.2.1 原理:其他条件不变下,光源波长越短,光刻机分辨率越高原理:其他条件不变下,光源波长越短,光刻机分辨率越高资料来源:华经产业研究院、光机电产业研究、兆恒机械官网、华金证券研究所2.2 2.2 光源波长(光源波长()光源光源Rk1NAu 光源是光刻机核心系统之一,光刻机工艺能力首先取决于其光源波长。光源是光刻机核心系统之一,光刻机工艺能力首先取决于其光源波长。最早两代光刻机采用汞灯产生波长436nm g-line和365nm i-line 作为光刻光源,可以满足800-250nm制程芯片生产。第三代光刻机采用248nm的KrF准分子激光作为光源,将最小工艺节点提48、升至180-130nm。第四代光刻机的光源采用193nm准分子激光,将制程提升至130-65nm的水平(通过浸没式技术、双图形技术、多图形等先进技术,193nm ArF准分子激光可应用于光刻10nm节点量产)。第五代光刻机技术,采用波长为13.5nm的极紫外光作为曝光光源。光谱图光刻机光源波长发展历程 292.2.2 2.2.2 发展:高压汞灯光刻光源发展:高压汞灯光刻光源深紫外光光源深紫外光光源极紫外光光源极紫外光光源资料来源:紫外LED光刻光源系统的研究与设计(王国贵)、半导体行业观察、兆恒机械官网、华金证券研究所2.2 2.2 光源波长(光源波长()光源光源u高压汞灯:高压汞灯:放电管内49、充氩气(用于启动)与汞(用于放电),汞蒸气被能量激发,汞原子最外层电子受激发跃迁,落回后释放光子。u深紫外光光源:深紫外光光源:一般采用准分子激光器作为光源,工作气体受到放电激励,在激发态形成短暂存在“准分子”,准分子受激辐射跃迁,形成紫外激光输出。u极紫外光激光:极紫外光激光:EUV光源由光的产生、光的收集、光谱的纯化与均匀化三大单元组成。相关的工作元器件主要包括大功率CO2激光器、多层涂层镜、负载、光收集器、掩膜版、投影光学系(Xe或Sn)形成等离子体,等离子利用多层膜反射镜多次反射净化能谱,获得13.5nm的EUV光。高压汞灯光刻光源系统结构图EUV光源系统的组成紫外激光器照明系统结构图50、 302.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):光源):LLPLLP光源较为稳定,且碎屑量较低,适用于大规模量产光源较为稳定,且碎屑量较低,适用于大规模量产2.2 2.2 光源波长(光源波长()光源光源u鉴于鉴于LPPLPP诸多优点,现用于大规模生产方案多以诸多优点,现用于大规模生产方案多以LPPLPP为主。为主。同步辐射源优点是可以产生高功率EUV光,且对光学原件无碎屑污染,故可长时间稳定输出EUV光。但过于复杂和庞大装置构造以及高昂造价等都表明同步辐射源并不适用于大规模生产。LPP、DPP 和LDP 都是通过高能量束使靶材产生较高的温升,从而产生高温、高密度的等离子体51、并发射EUV光。虽然形成方法有所差异,但却可以使用相同靶材。LPP以高功率激光辐射靶材,相较于DPP与LDP方案,因没有损伤电极困扰而较大地消减装置热负荷,产生光源较为稳定,且LPP所产生碎屑量低于DPP。方案方案原理原理优点优点缺点缺点是否可实现工业化是否可实现工业化EUVEUV光刻机生产方案光刻机生产方案同步辐射源高速带电粒子在磁场左右下发射电磁波,可利用这一特性产生EUV光。可产生高功率EUV光,且对光学原件无碎屑污染,并长时间稳定地输出EUV光。装置构造过于复杂和庞大,造价过于昂贵,不适合大批量生产。激光等离子体(LPP)以高强度的脉冲激光为驱动能源照射靶材,使靶材产生高温等离子体并辐52、射EUV光。因没有损伤电极的困扰而较大地消减了装置热负荷,产生光源较为稳定。EUV光产生过程中伴随着大量光学碎屑,但碎屑量低于DPP。放电等离子体(DPP)将靶材涂覆在阳极和阴极之间,两个电极在高压下产生强烈放电使靶材产生等离子体。由于Z箍缩效应,当洛伦兹力收缩等离子体时,等离子体被加热,产生EUV光。可通过增大放电电流功率提高EUV光输出功率。EUV光产生过程中伴随着大量光学碎屑,严重损坏光学收集系统;很难维持长时间的稳定工作状态。激光辅助放电等离子体(LDP)将LPP与DPP结合起来,先用脉冲激光照射靶材,使靶材细化,再运用DPP技术放电使靶材产生EUV光。可通过增大放电电流功率提高EUV53、光输出功率。很难维持长时间的稳定工作状态。同步辐射源、LPP、DPP、LDP 原理和比较资料来源:激光等离子体13.5nm极紫外光刻光源进展(宗楠)、CSDN、华金证券研究所 312.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):高功率、转换效率为光源):高功率、转换效率为EUVEUV光刻必要条件光刻必要条件资料来源:ASML、CSND、兆恒机械官网、华金证券研究所2.2 2.2 光源波长(光源波长()光源光源u为满足极紫外光刻需求,光源应具有以下性能:(1)光源功率达光源功率达250W250W,且功率波动小,且功率波动小;(2)较窄激光线宽,具有频率噪声和较小相对强度噪声,减少54、光学损耗;(3)较高系统效率较高系统效率,光源转化率最终要达到250W以上功率,因此激光器平均功率需达到20kW。u极紫外光光源原理:极紫外光光源原理:(1)锡液发生器使锡液滴落入真空室(每一锡滴大小为30微米);(2)脉冲式高功率激光器击中从旁飞过的锡液滴每秒50,000次。Laser分为两部分,前脉冲和功率放大器。前脉冲和主脉冲击中锡液使其气化;(3)锡原子被电离,产生高强度的等离子体;(4)收集镜捕获等离子体向所有方向发出的 EUV 辐射,汇聚形成光源;(5)将集中起来的光源传递至光刻系统以曝光晶片。EUV光源系统结构图EUV产生工作原理图 322.2.3 2.2.3 核心技术(核心技术55、(EUVEUV光源):液滴光源):液滴SnSn靶易于操控,转换效率较高靶易于操控,转换效率较高2.2 2.2 光源波长(光源波长()光源光源u锡锡(Sn)(Sn)为当前为当前EUVEUV光刻光源所用靶材,光刻光源所用靶材,CECE值为值为5%-6%5%-6%。Mo/Si多层膜反射镜特性致使选择中心波长为13.5nm、2%带宽内EUV光作为光刻光源,在此波段发出EUV主要靶材为氙(Xe)、锂(Li)、锡(Sn),其中Sn在13.5nm波长处的反射率占比最大。其EUV来源主要由Sn等离子体中高价态Sn8+Sn12+跃迁形成,13.5nm EUV光CE值为5%-6%。u液滴液滴SnSn靶好操控且碎屑56、较少,故其靶好操控且碎屑较少,故其CECE较高。较高。平板形靶由于存在较大温度梯度,EUV发射主导区域所发出EUV光存在较为强烈吸收;限腔形Sn靶相较于平板Sn靶具有更高EUV-CE;球形靶最大值CE为3%;且CE会随着Sn靶直径与焦斑大小比值减小而逐渐增加;液滴Sn靶好操控且碎屑较少,故其CE较高,用于大规模生产EUV光刻机光源均是采用液滴Sn靶。靶材靶材基于所选靶材所得结果基于所选靶材所得结果13.5 nm EUV13.5 nm EUV光光CECE值值锂(Li)是当稳态Li等离子体处在高温环境下时,会有极少量Li2+离子处于电离平衡态,即等离子体仅由剩余原子核和自由电子组成,且无任何谱线发57、出。1%-2%左右氙(Xe)EUV来源要由Xe元素的一种离子 Li10+在48 475p 跃迁产生,CE较低,Xe 光谱纯度较差。仅有 1%左右锡(Sn)EUV来源主要由Sn等离子体中高价态离子 Sn8+Sn12+跃迁形成。5%6%几何形状几何形状不同几何形状表现不同几何形状表现平板形靶用激光照射平板Sn靶,会造成被激光光束聚焦中心照射部分靶材温度远高于周围其他部分。由于存在较大温度梯度,中心部分等离子体膨胀速度快,周围部分等离子体膨胀速度慢。速度较慢等离子体会对速度较快等离子体所在区域(EUV发射主导区域)所发出EUV光存在较为强烈吸收,进而影响EUV-CE。限腔形靶通过使用双脉冲照射Sn限58、腔形靶并在入射激光相反方向收集EUV光。证明限腔形Sn靶相较于平板Sn靶具有更高EUV-CE。球形靶将直径为几微米的球形塑料靶材表面涂满厚度为微米量级Sn,最终得到最大值CE为3%;且CE会随着Sn靶直径与焦斑大小比值减小而逐渐增加。凹槽形靶脉冲激光打在平板Sn靶上同一点脉冲数量逐渐增多时,等离子体EUV-CE从2.7%增加到5%,辐射EUV等离子体区域也较之前拉长近一倍。楔形靶楔形Sn靶EUV-CE约为3.6%。液滴形靶液滴Sn靶好操控且碎屑较少,故其CE较高。资料来源:激光等离子体13.5nm极紫外光刻光源进展(宗楠)、华金证券研究所不同靶材13.5nm EUV光CE值不同几何形状EUV光59、CE值(Sn靶)332.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):光源):激光系统保证输出高功率密度且转换效率较高激光系统保证输出高功率密度且转换效率较高2.2 2.2 光源波长(光源波长()光源光源u主振主振+功率放大器保证输出高功率密度,高光束质量激光束。功率放大器保证输出高功率密度,高光束质量激光束。CO2激光驱动器需产生持续时间20ns脉冲,以实现LPP过程最佳效率。且100 kHz重复频率需要每个脉冲输送大于200mJ能量。满足这些要求唯一方案是使用主振+功率放大器,以保证输出高功率密度,高光束质量激光束。u激光器脉冲激光能量沉积区与激光器脉冲激光能量沉积区与EUV60、EUV辐射区更近,带来更高辐射区更近,带来更高CECE值。值。相较于Nd:YAG激光脉冲,使用CO2激光器脉冲能获得较高CE(两者比值为2.2),且辐射出EUV光功率更高。因为其脉冲激光能量沉积区与EUV辐射区近,便于激光能量快速转移到等离子体中辐射EUV光,且用CO2激光作为驱动光源产生碎屑数量少,光谱纯度高。资料来源:激光等离子体13.5nm极紫外光刻光源进展(宗楠)、华金证券研究所大功率短脉冲CO2激光器系统示意图Nd:YAG激光(a)与CO2激光(b)等离子体激光能量吸收区域和极紫外辐射区域 342.2.3 2.2.3 核心技术(核心技术(EUVEUV光源):加入预脉冲可以极大提高光源61、):加入预脉冲可以极大提高CECE,双脉冲成为主流,双脉冲成为主流2.2 2.2 光源波长(光源波长()光源光源u加入预脉冲可以极大地提高加入预脉冲可以极大地提高CECE,NdYAGNdYAG激光更适用于预脉冲。激光更适用于预脉冲。先用预脉冲照射锡靶,产生低密度、碎片化的锡靶。设计好延时时间后,再用高功率的主脉冲击打锡靶,最终产生高密度、高温的等离子体并辐射EUV光,利用该方法最高可得到约6%的转换效率。在预脉冲激光的选择上,相比CO2激光,用波长更短的NdYAG激光可以产生更高的临界等离子体密度,辐射更高能量的EUV光,且NdYAG激光具有更强的穿透能力,能使预脉冲击打靶材的气化率更高。uG62、igaphotonGigaphoton公司共设计公司共设计3 3款款13.5nm-EUV13.5nm-EUV光源产品,皆为双脉冲。光源产品,皆为双脉冲。Proto#1设计重点为碎片减缓技术;Proto#2偏向于优化CE设备;Pilot#1 设计则偏向于在半导体工厂中支持大规模生产商业应用。Pilot#1在输出功率(250W)、转换效率(5%)及工作时长(大于3个月)等众多方面都较其他两款产品有绝对优势。参数参数细分指标细分指标Proto#1Proto#1Proof of Proof of ConceptConceptProto#2Proto#2Key TechnologyKey Technol63、ogyPilot#1Pilot#1HVM ReadyHVM Ready目标性能EUV Power25W100W250WCE3%4%5%脉冲速率100 kHz100 kHz100 kHz输出角度水平6262Availability-1 week-1 week75%技术参数Droplet Generator20-25 m 20 m3 months资料来源:激光等离子体13.5nm极紫外光刻光源进展(宗楠)、极紫外光刻光源的研究进展及发展趋势(林楠)、华金证券研究所EUV光源双脉冲方案Gigaphoton公司EUV光源产品参数 352.2.4 2.2.4 现状:现状:CymerCymer与与Gigp64、hotonGigphoton几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空白几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空白2.2 2.2 光源波长(光源波长()光源光源uCymerCymer与与GigphotonGigphoton几乎垄断全球激光光刻机光源产业。几乎垄断全球激光光刻机光源产业。目前,荷兰光刻机巨头ASML子公司Cymer(世界领先的激光源供应商)与日本Gigphoton公司几乎垄断全球激光光刻机光源产业,并皆可以独立制造出基于LPP的EUV光刻机光源。u国内光学光源相关领域比较领先的有中国科益虹源和福晶科技。国内光学光源相关领域比较领先的有中国科益虹源和福晶科技。科益65、虹源自主研发的首台193nm高能准分子激光器,以高质量和低成本的优势,填补了中国在准分子激光技术领域的空白,打破了国外厂家对该技术产品长期市场垄断局面,公司目前已完成6kHz、60W光刻机光源的制造,该光源即为现阶段主流ArF光刻机光源。福晶科技公司生产的KBBF晶体属于激光设备的上游关键零部件,凭借KBBF晶体技术,福晶科技公司在该产品领域处于主导地位。资料来源:激光等离子体13.5nm极紫外光刻光源进展(宗楠)、Cymer、科益虹源、五度易链行业研究中心、Cymer 准分子激光器的工作原理及应用(宋健)、华金证券研究所Cymer准分子激光器的工作原理Cymer ArF IMMERSION(66、193NM)光源产品:XLR 900IX 36类别类别型号型号振荡波长振荡波长输出输出脉冲能量脉冲能量频率频率光谱线宽(光谱线宽(FWHMFWHM)光谱幅度(以光谱幅度(以 95%95%能量累计,能量累计,E95E95)累计能量安定性累计能量安定性ArF IMMERSIONGT80A193 nm6090WN.A.6,750 HzN.A.300+/-1.5 fm wafer averageGT66A193nm60-90WN.A.6,000HzN.A.300+/-1.5fm wafer averageN.A.GT65A193 nm60 W10.0 20.0 mJ6,000 HzN.A.0.20 067、.45 pmN.A.GT64A193 nm60120 W10.0 20.0 mJ6,000 HzN.A.0.25 pmN.A.GT63A193 nm60/90 W10.0/15.0 mJ6,000 HzN.A.0.3 pmN.A.GT62A193 nm60/90 W10.0/15.0 mJ6,000 HzN.A.0.35 pmN.A.GT61A193 nm60 W10.0 mJ6,000 HzN.A.0.35 pmN.A.GT60A193 nm60 W10.0 mJ6,000 Hz0.2 pm0.5 pmN.A.ArF DryGT45A193 nm45 90 W11.25 15 mJ4,00068、 6,000 HzN.A.0.5 pmN.A.GT40A193 nm45 W11.25 mJ4,000 Hz0.2 pm0.5 pmN.A.G42A193 nm20 W5.0 mJ4,000 Hz0.3 pm0.75 pmN.A.G41A193 nm20 W5.0 mJ4,000 Hz0.35 pm0.85 pmN.A.KrFG300K248 nm75W/300W75mJ1000Hz/4000HzN.A.N.A.N.A.G60K248 nm40W 60W10mJ 15mJ4000HzN.A.N.A.N.A.G45K248 nm40 W 50 W10.0 12.5 mJ4,000 Hz0.35 69、pm1.1 pmN.A.G41K-1H248 nm40 W10.0 mJ4,000 Hz0.35 pm1.1 pmN.A.G21K248 nm20 W10.0 mJ2,000 Hz0.5 pm1.4 pm0.35%G20K248 nm20 W10.0 mJ2,000 Hz0.6 pm2.0 pm0.40%G10K248 nm10 W10.0 mJ1,000 Hz0.6 pm2.0 pm0.50%资料来源:Gigphoton官网、华金证券研究所2.2.5 2.2.5 趋势:频率趋势:频率/脉冲能量整体呈现上升趋势,光谱线宽脉冲能量整体呈现上升趋势,光谱线宽/光谱幅度呈现收窄趋势光谱幅度呈现收窄趋70、势2.2 2.2 光源波长(光源波长()光源光源Gigphoton公司光源产品及参数 372.2.6 2.2.6 弯道超车:稳态微聚束弯道超车:稳态微聚束(SSMB)(SSMB)为极紫外光的产生提供新方法为极紫外光的产生提供新方法资料来源:香港中文大学物理系官网、Ansforce、曲博科技教室、Reflective X-ray Optics、华金证券研究所2.2 2.2 光源波长(光源波长()内圈加速电子存储环辐射线束站u原理:速度接近光速的带电粒子在磁场中做圆周运动时,沿运动轨迹切线方向会发出电磁辐射(同步辐射SR),具有高亮度、宽频谱、高准直、高偏振等特性。使用雷射对电子进行操控,在储存环71、中可形成超短的电子束团(又称微聚束),这种技术可保证电子束长度比传统存储环小六个数量级,经由结合微聚束辐射的强相干特性以及储存环内电子束的高回旋频率特性,稳态微聚束(SSMB)光源可提供高平均功率、窄频宽的相干辐射,产生的电磁波可覆盖太赫兹(THZ)、极紫外光(EUV)、软X光(Soft X-ray)。u同步辐射光源装置包括电子子生及加速的雷子加速器、储存电子束的电子储存环、以及将生产的同步辐射用在物理、化学、材料、生物等各类科学研究的辐射线束站。同步加速器与电子存储环电磁波谱 382.2.6 2.2.6 弯道超车:稳态微聚束弯道超车:稳态微聚束(SSMB)(SSMB)为极紫外光的产生提供新方72、法为极紫外光的产生提供新方法资料来源:Ansforce、曲博科技教室、华金证券研究所2.2 2.2 光源波长(光源波长()u在稳态微聚束的电子储存环中,雷射光波长在微米(m)等级,远小于辐射射频微波波长度在米(m)等级,故必须使用聚焦装置(Lattice)使电子束团长度缩小到纳米(nm)等级,所以称微聚束(Microbunch)。同时电子束团之间的距离也由微波波长米(m)等级缩小到雷射光波长微米(m)等级,即单位长度内的电子束团数目提升6个数量级,属于相干叠加,因此辐射功率大幅增加。u自由电子雷射(FEL)所产生的微聚束,是自由电子束团与自身产生的辐射在波荡器(Udulator)中相互作用持续73、的正回馈作用,是一种集体不稳定的过程,微聚束无法长期维持,而稳太微聚束(SSMB)使用雷射调变系统与聚焦装置(Lattice),形成相干叠加,让微聚束在储存环中能逐圈重利用而达到稳态(Steady-State)。电子存储环使用射频共振腔电子存储环使用雷射调变系统 392.2.6 2.2.6 弯道超车:稳态微聚束弯道超车:稳态微聚束(SSMB)(SSMB)为极紫外光的产生提供新方法为极紫外光的产生提供新方法资料来源:Ansforce、曲博科技教室、华金证券研究所2.2 2.2 光源波长(光源波长()u1.直线注入器(Linac):产生能量约400百万电子伏特(MeV),脉冲长度100纳秒(ns)74、等级,总电荷量100纳库仑等级,平均电流强度1安培(A)等电荷量微脉冲电子束团。u2.展束环(Strecher ring):多个脉冲电子束团注入到展束环中,使电子束团长度在展束环中被拉长,注入到稳态微聚束(SSMB)的电子储存环(Storage ring)中。u3.稳态微聚束(SSMB)主环:注入的电子束团使用调变雷射系统与聚焦装置(Latice)形成相干叠加,让微聚束在储存环中能逐圈重复利用,从而达到稳态(Steady-State)。u4.电子束团压缩及辐射单元:稳态微聚束(SSMB)主环中的电子束团进一步压缩,实现长度大3 nm的微聚束,从而产生13.5 nm的强相干极紫外光(EUV)。u75、5.调变雷射系统:采用窄频宽的种子雷射和高精细度光学增益共振腔,实现大约1百万瓦(MW)的平均存储功率,满足稳态微聚束极紫外光(SSMB-EUV)光源的需求。u6.能量补充系统:采用百万赫兹(MHZ)的重复频率直线感应加速器,补偿平均电流强度大约1安培(A)的功率数千瓦到十千瓦的辐射损失。光源原理光源原理主要特点主要特点雷射生成电浆(LPP)已商业化技术成熟,功率目前大约500瓦,不容易再增强。放电生成电浆(DPP)尚在开发,技术不成熟,功率目前大约数十瓦,仍有改善空间。同步辐射技术成熟,成本较低,功率太低只适合科学研究,无法达到半导体大规模量产需求。超导射频自由电子雷射(SRF-FEL)功率76、可达1-10千瓦,造价数10亿元,周长数百米,必须做能量回收(ERL)提供销量,许多技术还需突破。稳态微聚束(SSMB)功率可达1千瓦,造价大约10亿元,周长100-150米,原理实验已经验证,需要建立针对极紫外光的设备。稳态微聚束极紫外光系统主要极紫外光光源特性比较 402.3.1 2.3.1 原理:其他条件不变下,数值孔径越大,光刻机分辨率越高原理:其他条件不变下,数值孔径越大,光刻机分辨率越高2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜资料来源:高数值孔径投影光刻物镜的光学设计(徐明飞)、华金证券研究所Rk1NAu光刻物镜包括能够满足光刻机成像质量要求和整机接口需求的投光刻物镜77、包括能够满足光刻机成像质量要求和整机接口需求的投影物镜以及相关控制系统。影物镜以及相关控制系统。整个物镜长度一般超过1m,重量超过500Kg,为能刻蚀尽可能精细线条,物镜分辨率必须达到衍射极限,这意味着在物镜实际工作过程中,全视场波前像差均方根至少要小于0.07;此外,像面弯曲要求小于几十纳米,畸变不能超过几纳米。如此高像质光学系统需要其内部环境控制必须十分严格,如物镜内部温度变化要小于0.01摄氏度,气压变化要小于100帕。光刻物镜工作波长大多数属于紫外波段,如KrF(248nm),ArF(193nm)和EUV(13.5nm)。u按照构成物镜零部件属性,可以将物镜划分为光学、机械和控制按照构78、成物镜零部件属性,可以将物镜划分为光学、机械和控制三个分系统。三个分系统。光学分系统包括参与投影成像全部光学零件;机械分系统包括用于实现光学零件支撑、调节,物镜环境控制与保护以及与整机机械结构连接全部机械零件和功能性组件;控制分系统包括用于物镜控制机箱及相关控制软件系统、物镜调节机构及光阑驱动等。投影光刻物镜系统的组成 412.3.2 2.3.2 路径(物镜结构):从路径(物镜结构):从“双腰双腰”到到“单腰单腰”,引入非球面镜片,引入非球面镜片2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜资料来源:高数值孔径投影光刻物镜的光学设计(徐明飞)、华金证券研究所像方(硅片)远心,物方(掩膜79、)非远心“正负正负正正负正负正”光焦度光焦度分布形成典型分布形成典型“腰肚腰肚”结构,成为以后光刻物结构,成为以后光刻物镜发展基础结构镜发展基础结构由于视场增大,系统结构尺寸也逐步增大物镜NA进一步增大,大口径光学材料制造成为技术瓶颈光学技术进入低系统工艺系数时代,非球面非球面使用才使得系统总长缩短而口径基本保持不变此时物镜开始使此时物镜开始使用大矢高非球面,用大矢高非球面,结构从结构从“双腰双腰”简化为简化为“单腰单腰”结构。结构。Nikon公司光刻物镜的结构变化(1981-2004)波长进入波长进入DUVDUV时代,时代,光源采用准分子激光器 42专利专利US0212899A1US728980、279B2US0231813A1US0004757A1NA0.760.800.850.93波长193nm193nm193nm193nm总长1000mm1000mm1150mm1342mm最大视场13.6mm13.6mm14.0mm14.0mm非球面数13812最大孔径236.0mm262.0mm279.4mm397.6mm示意图2.3.2 2.3.2 路径(物镜结构):从路径(物镜结构):从“双腰双腰”到到“单腰单腰”,引入非球面镜片,引入非球面镜片2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜资料来源:高数值孔径光刻投影物镜成像理论及像质补偿和检测技术研究(于新峰)、华金证券研究所u81、 随着随着NANA增加,系统总长、光学元件最大孔径、采用非球面数量等均逐渐增加,且物镜结构形式由增加,系统总长、光学元件最大孔径、采用非球面数量等均逐渐增加,且物镜结构形式由“双腰双腰”结构到结构到“1.51.5腰腰”结构,最终进化为结构,最终进化为“单腰单腰”结构。结构。432.3.2 2.3.2 路径(投影方式):折反式使用较少光学元件实现更大数值孔径并实现场曲矫正路径(投影方式):折反式使用较少光学元件实现更大数值孔径并实现场曲矫正2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜资料来源:基于成像光强的光刻机像差原位检测理论与方法研究(许爽等)、193nm投影光刻物镜光机系统关键技82、术研究进展(张德福等)、华金证券研究所u投影物镜的结构型分为折射式和折反式:1)折射式:光学元件旋转对称并沿着同一个光轴对准,视场位于光轴中央,结构简单易于装调,主要校正色差和Petzval两种成像误差。非球面的应用增加了设计自由度,放松了每个元件的公差;2)折反式:对于NA1.2的投影物镜,大多采用折反式光学系统。反射镜有着正光焦度和负值场曲,可以不依赖于传统的“腰肚”结构,使用较少数量和较小口径的光学元件满足对场曲的校正,从而在一定物镜尺寸限制内实现更大的NA。典型折射式投影物镜示意图NA1.35的单轴折反式物镜像差示意图1、有限设计残差2、加工装配误差3、光刻机使用环境造成 44资料来源83、:光刻人笔记、华金证券研究所2.3.2 2.3.2 路径(像方介质折射率):浸没式光刻提供更大焦深并支持高路径(像方介质折射率):浸没式光刻提供更大焦深并支持高NANA成像成像 2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜Rair=10n=10R=10nwater=10=10n2/2=10n1222/2=1n02=10n2/2=10n1222/2=1n02u对于相同波长及对于相同波长及NANA,干法和浸没式光刻分辨率则相同,而浸没式光刻,干法和浸没式光刻分辨率则相同,而浸没式光刻DOFDOF约为干式光刻约为干式光刻DOF1.44DOF1.44倍(倍(193nm193nm,在标准大气温84、度和压力,在标准大气温度和压力下,水折射率下,水折射率1.441.44)。实际上,对于给定NA,浸没式光刻中更高折射率能够将更高空间频率(更高衍射级)耦合到光刻胶中,而在干式光刻情况下,高衍射级只是简单地内部反射到透镜中具有NA1镜头,而不是耦合到光刻胶中。对于给定NA,伴随着分辨率增强,浸没式光刻比干式光刻提供更大焦深,并支持高NA成像(NA1.0)。干法和浸没式光刻中分辨率与焦深公式推导NA=0.85时干式和浸没式光刻焦深性能对比 452.3.2 2.3.2 路径(像方介质折射率):浸没式光刻提供更大焦深并支持高路径(像方介质折射率):浸没式光刻提供更大焦深并支持高NANA成像成像资料来源85、:高数值孔径投影光刻物镜的光学设计(徐明飞)、Forays through the lithography of microelectronics(Matthias Schirmer)、华金证券研究所2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜u像方浸液、使用反射元件与增加非球面,突破非浸没投影光刻物镜理论极限。像方浸液、使用反射元件与增加非球面,突破非浸没投影光刻物镜理论极限。非浸没投影光刻物镜理论极限NA为0.95,如想进一步增大NA则需要采用像方浸液、使用反射元件和增加非球面等措施。当NA超过0.75时,系统需要采用非球面;当NA超过0.95时,系统需采用像方浸液技术;当NA超86、过1.1时,系统需加入反射镜组成折反式结构。在193nm工作波段采用像方水浸液技术可使光刻物镜NA达到1.35。采用折射式结构会使物镜口径急剧增大并且难以校正场曲,因此必须在系统中加入反射元件。折反式结构除有利于提高系统NA之外,反射元件还有利于降低系统色差。提高光刻物镜NA的方法 浸没式和干法ArF光刻的主要物理和光学差异 462.3.3 2.3.3 制造:物镜加工精度确保光线高精度聚焦制造:物镜加工精度确保光线高精度聚焦资料来源:ZEISS、IPCEI、马氏体、华金证券研究所2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜研磨研磨电脑控制抛光电脑控制抛光离子束修形离子束修形涂层涂层清87、洁粗糙度量测物镜量测u镜坯首先由高精度机床铣磨成型,再使用小磨头抛光、磁流变抛光、离子束抛光等超精密抛光手段,才能达到所需的精度,最后再进行镀膜(对于DUV物镜,是镀减反射膜;对于EUV物镜,是镀反射多层膜)。高数值孔径极紫外光刻的照明系统由大约 25,000 个零件组成,重量超过六吨,其投影光学系统由40,000多个零件组成,重约12吨,可确保晶圆扫描仪中的光线高精度聚焦。为此,在物镜表面上蒸镀了100多个极薄的硅和钼层-每层厚度只有几个原子层。需要精度举例,如一面镜子大到可以覆盖德国的表面积,其最大的不均匀度将小于100微米。光刻机镜片制造流程 472.3.3 2.3.3 制造:物镜加工精88、度确保光线高精度聚焦制造:物镜加工精度确保光线高精度聚焦2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜u 极紫外光刻物镜需要适应以全频段、亚纳米精度制造为代表的一系列苛刻要求,才能保证光刻性能。极紫外光刻物镜需要适应以全频段、亚纳米精度制造为代表的一系列苛刻要求,才能保证光刻性能。采用光学自由曲面设计,减小光学系统波前误差,增大数值孔径,减少元件数量;使用超低膨胀微晶玻璃来保证工作稳定性,这种异质材料高性能超精密制造工艺难度较大。目前,只有德国蔡司集团能够生产面向大规模制造的极紫外光刻物镜;我国重点研究的深紫外光刻物镜制造技术,与国际先进水平相比差距明显,是制约极大规模集成电路制造技术89、发展的“卡脖子”环节。目前市面上最高级别的单反相机镜头加工产生的像差在200nm以上以上,而ASML DUV高端投影物镜的像差则被控制在2nm内内。最高级别的单反镜头可支持全画幅6千万像素分辨率,而ASML的投影物镜的分辨率则可支持1千6百亿画素如果投影物镜镜片直径跟中国东西距离一样大,那么在如此大的平面上,因加工产生的平整度高低起伏误差4CM都不到(小于一颗乒乓球的直径)ASML投影物镜加工工艺十分精密资料来源:高端光学元件超精密加工技术与装备发展研究(蒋庄德等)、ZEISS、ASML、华金证券研究所 482.3.4 2.3.4 现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发90、展重大短板现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大短板2.3 2.3 数值孔径(数值孔径(NANA)物镜物镜时间目标突破超精密加工的共性关键技术:全频谱纳米/亚纳米级精度创成,近无缺陷高表面完整性加工,超精密机床正向设计与数据资源建构,超精密智能机床制造2021202520302035研发和应用关键超精密加工装备:4m及以上口径光学元件毛坯制造基础装备,轻量化及超精密磨削装备,亚纳米级加工装备,超大口径光学元件超精密测量仪器研发和应用关键超精密加工装备:7m及以上口径光学元件毛坯制造基础装备,超轻量化及超精密磨削装备,原子级加工装备,超大口径光学元件超精密测量仪器,91、超大尺寸光学元件修复装备,航天器机载光学元件制造及修复装备国产光学元件超精密制造装备的国内市场占有率为70%国产光学元件超精密制造装备的国内市场占有率为80%国产高档数控系统的国内市场占有率为70%国产高档数控系统的国内市场占有率为80%重点产品超精密车削装备面形误差PV0.2m/75mm,表面粗糙度Ra3nm面形误差PV0.14m/75mm,表面粗糙度Ra2nm面形误差PV0.1m/75mm,表面粗糙度Ra1.5nm超精密铣削装备面形误差PV0.3m/75mm,表面粗糙度Ra10nm面形误差PV0.2m/75mm,表面粗糙度Ra8nm面形误差PV0.15m/75mm,表面粗糙度Ra5nm超精92、密磨削装备加工口径4m,面形误差PV10m/m,亚表面损伤35m加工口径5m,面形误差PV8m/m,亚表面损伤25m加工口径7m,面形误差PV8m/m,亚表面损伤15m国产超精密关键功能部件的国内市场占有率为70%国产超精密关键功能部件的国内市场占有率为80%超精密抛光装备加工口径4m,面形误差RMS12nm加工口径5m,面形误差RMS8nm加工口径7m,面形误差RMS5nm资料来源:高端光学元件超精密加工技术与装备发展研究(蒋庄德等)、华金证券研究所 492.3.4 2.3.4 现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大短板现状:高端光学元件超精密制造技术及装备成为93、制约高端装备制造业发展重大短板2.32.3数值孔径(数值孔径(NANA)物镜物镜时间2021年2025年2030年2035年数控系统、功能部件和刀具数控系统开放式、网络化的云架构数控系统,基于云平台的智能数控系统,多轴、多通道、纳米插补数控加工技术,具备自适应加工、刀具寿命管理、在位测量、虚拟现实仿真、自监控、维护、优化、重组等功能关键功能部件超精密动静压轴承制造与检测技术,超精密反馈元件和运动控制技术刀具多物理量可调运动部件技术,检测单元技术关键功能部件多物理量状态感知技术,多物理量调控技术,精度和刚度补偿技术具备高精度、高刚度、运动平稳性功能部件制造能力具备高性能功能部件制造能力具备智能化94、功能部件制造能力细粒度高性能金刚石/CBN砂轮超细粒度高性能金刚石/CBN砂轮结构化车/铣刀具刀具工作状态智能化监测,刀具数字化设计制造一体化可控柔体亚纳米抛光工具测量方法与装备机床精度测量光学元件在位测量光学元件离线策略快速在机误差测量仪器和标准超精密机床误差识别与实时补偿技术光学元件的低应力高效率接触式测量技术复杂光学元件的非接触式超精密测量技术复杂光学元件亚纳米几何精度测量技术表面完整性多参数表征技术机床误差的自适应/智能检测与评价复杂光学元件的多参数跨尺度形性测量技术光学元件时-空域形性参数的跨尺度表征与信息融合技术应用示范工程超大口径轻量化空间光学元件制造探测制导光学元件高性能精密制95、造强光光学元件抗辐照损伤制造极紫外光刻机物镜超精密制造资料来源:高端光学元件超精密加工技术与装备发展研究(蒋庄德等)、华金证券研究所 50资料来源:英伟达、芯东西、Semi Connect、电子发烧友、华金证券研究所2.4 2.4 工艺系数(工艺系数(k k1 1)计算光刻技术计算光刻技术Rk1NAu计算光刻技术是指利用计算机辅助技术来增强光刻工艺中图形转移保真度的一种方法,它是分辦率增强技术(RET)的延伸,其关键技术主要包括光学成像物理仿真、光学邻近效应校正(OPC)、光源-掩膜协同优化(SMO)等。u计算光刻技术通过软件来模拟和优化光刻过程中各种元素,如光源、掩膜版、镜头等,让光线能够更96、精确地照射到晶圆上,帮助光刻机更好刻画出芯片微小结构,实现更高分辨率和效率,避免错误与缺陷。计算光刻发展路线 51资料来源:Semi Connect、电子发烧友、华金证券研究所2.4 2.4 工艺系数(工艺系数(k k1 1)计算光刻技术计算光刻技术u光刻成像物理仿真是指利用Abbe成像模型,通过依次计算掩膜衍射、光瞳调制、干涉成像,仿真最终光刻效果技术。u光学临近效应校正(OPC)技术是一种用于修正光刻后图形缺陷和变形的光刻增强技术。OPC技术原理:将光刻形成最终图形与设计图形进行对比、对因临近效应而产生图形缺陷和变形在掩膜版制作过程中进行相应补偿,并建立补偿规则库或补偿模型。u光源-掩膜版97、优化技术采用类似于光线追踪算法思路,从需要成型目标图像进行反推计算,以获得所需最佳掩膜版图形和光源配置方案。该技术利用精确成像模型,计算不同光瞳填充参数及掩膜版图修正量下的光刻成像效果,通过对光瞳填充参数及掩膜版图优化调整,增大光刻工艺窗口。光刻技术光刻技术光刻成像物理仿真光刻成像物理仿真光学临近效应校正光学临近效应校正光源光源-掩膜优化掩膜优化核心模型衍射/干涉成像模型快速成像模型混合模型输入量光刻机机光刻工艺各相关参数掩膜版图光瞳填充参数初始掩膜版图输出量光刻成像效果修正的掩膜版图优化的光瞳填充参数修正后掩膜版图用途设计并调整光刻机参数优化光刻工艺参数补偿图形失真增大工艺窗口三种计算光刻技98、术对比 52资料来源:计算光刻研究及进展(马旭等)、华金证券研究所2.4.1 2.4.1 光学邻近效应校正(光学邻近效应校正(OPCOPC):对掩膜图形进行预畸变处理,补偿光学邻近效应误差):对掩膜图形进行预畸变处理,补偿光学邻近效应误差2.4 2.4 工艺系数(工艺系数(k k1 1)计算光刻技术计算光刻技术u 对掩膜版图形进行修正,调制透掩膜光波振幅分布,补偿光学邻近效应。对掩膜版图形进行修正,调制透掩膜光波振幅分布,补偿光学邻近效应。未采用OPC技术时,初始掩膜图案与目标图形(即预期在晶圆获得的理想图形)相一致,但成像产生明显扭曲。采用OPC技术后,对掩膜图案进行预畸变或在掩膜上添加细小99、亚分辨率辅助图形,有效补偿OPE所引起成像误差,使晶圆上成像质量得到改善。根据修正方法不同,OPC可分为基于规则的OPC(RBOPC)与MBOPC,而MBOPC又分为基于边缘OPC(EBOPC)与基于像素OPC(PBOPC)。OPC优化前后成像过程OPC优化前后掩膜及成像结果对第一、第三和第五条平行线的镂空部分进行深度刻蚀,使之透过的光线与相邻线条透过的光线产生180的相位差,从而再暗场区域发生相消干涉以提高对比度和分辨率。532.4.2 2.4.2 光源光源-掩膜协同优化技术(掩膜协同优化技术(SMOSMO):结合):结合SOSO与与OPCOPC技术,提高设计自由度,扩大工艺窗口技术,提高设100、计自由度,扩大工艺窗口2.4 2.4 工艺系数(工艺系数(k k1 1)计算光刻技术计算光刻技术u光源光源-掩膜协同优化是掩膜协同优化是14 nm14 nm及以下节点中必不可少分辨率增强技术。及以下节点中必不可少分辨率增强技术。SMO技术是一种针对极小尺寸图形光刻的计算光刻技术,对光刻工艺中的光源和掩膜进行协同优化,来改善在超小尺寸节点中的光刻工艺窗口,增强光学表现。SMO的基本原理与基于模型的光学邻近效应修正类似。对掩膜图形的边缘做移动,计算其与晶圆上目标图形的偏差,即边缘放置误差。在优化时模型中引入曝光剂量、聚焦度、掩膜图形尺寸的扰动,计算这些扰动导致的晶圆上像的边缘放置误差。光源掩膜协同101、优化计算出的结果,不仅包含一个像素化的光源,而且包括对输入设计做的邻近效应修正。资料来源:计算光刻研究及进展(马旭等)、SMO in 14 nm CMOS Production Validated by Wafer Data in IMECAS(Advanced Process Center;Dan)、CICPC、华金证券研究所SMO示意图SMO技术对其工艺窗口的提升 54资料来源:中国科学院大学、光刻人的世界、前瞻科技杂志、电子工程专辑、华金证券研究所2.4.3 2.4.3 多重图形技术(多重图形技术(MPTMPT):):LELELELE,主要原理为化繁为简,主要原理为化繁为简2.4 2.4102、 工艺系数(工艺系数(k k1 1)计算光刻技术计算光刻技术将小于光刻分辨率的线条和间距按相邻间隔方式分开两块图形连接处加缝合图形对拆分后图形进行OPCu将图形拆分到两个掩膜上,进行两次曝光两次刻蚀。将图形拆分到两个掩膜上,进行两次曝光两次刻蚀。曝光-刻蚀-曝光-刻蚀(LELE):将设计版图分解成两套独立的低密度图形,通过两次单独曝光和两次单独刻蚀,然后叠加在一起,形成更精细的图案。但是LELE要求设计人员对版图做拆分,即所谓的分配颜色,把原始绘制的版图拆分到两块掩膜上。在LELE工艺中,后续曝光时必须对先前的图形进行结构保护;更大的难题是两次光刻的对准问题。理论上通过多次LE过程可以将图形间103、距不断缩小,通过计算机辅助设计,可以将原始图形设计中违反设计规则或难以量产的图形分解为简单的、易于量产的图形,由于其设计的灵活性,多用于逻辑制程中。目前LELE工艺已经被广泛应用于14nm及以下技术节点的器件中。光照刻蚀光照刻蚀(LELE)原理图多次LE套刻精度;关键尺寸均匀性控制 55资料来源:光刻人的世界、中国科学院大学、前瞻科技杂志、华金证券研究所2.4.3 2.4.3 多重图形技术(多重图形技术(MPTMPT):):SADPSADP,一次光刻后相继使用非光刻工艺实现图形密度加倍,一次光刻后相继使用非光刻工艺实现图形密度加倍2.4 2.4 工艺系数(工艺系数(k k1 1)计算光刻技术计104、算光刻技术“mandrel”掩膜“cut”掩膜“pad”掩膜原始版图最终“mandrel”版图最终“pad”版图SMO+OPC可能需要SMO+OPCu自对准双重曝光技术(SADP),首先通过一次光刻形成核心图形,再在核心图形两侧化学沉积侧墙,接着通过后续刻蚀和修剪掩膜版来完成最终图形。1)作出尺寸较大的辅助图形(Mandrel);2)利用沉积工艺在辅助图形周围形成侧墙,实现图形间距的缩小;3)再利用侧墙作为掩膜实现图形的转移。利用隔离层围绕辅助图形的特点实现了自对准,具有较好的套刻宽容度。工艺过程中只用到一次关键光刻步骤,同时刻蚀次数相对较少,具有工艺简单、成本低的优点。但受限于其本身技术特点105、,只能用于结构简单图形,因此最早在存储器工艺中应用,近年来在逻辑制程的金属层中也有应用。最终“pad”版图可能需要SMO+OPCSADP图形工艺拆分SADP工艺示意图 56资料来源:半导体行业观察、TechInsights、华金证券研究所2.4.3 2.4.3 多重图形技术(多重图形技术(MPTMPT):):SAQPSAQP,侧壁间隔物双图案化技术的两个周期,侧壁间隔物双图案化技术的两个周期2.4 2.4 工艺系数(工艺系数(k k1 1)计算光刻技术计算光刻技术u自对准四重图案化(SAQP)是用于对小于 38 nm 的特征节距进行图案化的最广泛使用的技术,预计能够达到19 nm 节距。它实际106、上是多个工艺步骤的集成,已用于 FinFET和 1X DRAM的鳍片图案化。这些步骤允许最初绘制的相距80 nm的线生成最终相距20 nm的线(实际上是 10 nm分辨率)。步骤:1)打印心轴轨迹;2)在打印的心轴图案的侧面生长侧壁;3)移除心轴图案;4)在侧壁之间开发最终制造的图案;5)添加介电块以在最终目标中创建所需的尖端到尖端间距。uTechInsights表示,SMIC和TSMC 7nm工艺采用了SADP和SAQP多重曝光技术SMIC和TSMC 7nm工艺对比SAQP工艺示意图厂商厂商SMICSMICTSMCTSMC技术节点N+1,7nmN7N7 HPC/N7PN7+光刻技术193i 107、ArF SA-LELE193i ArF SA-LELE193i ArF SA-LELE193i ArF SA-LELE/EUVFin LogicSAQP variable pitchSAQP variable pitchSAQP variable pitchSAQP variable pitchGateSADP+CutSADP+CutSADP+CutSADP+CutDiffusion BreakSA-SDBDDBDDBSA-SDB 57资料来源:与非网、英伟达、华金证券研究所2.4.4 2.4.4 逆光刻技术(逆光刻技术(ILTILT):已知光刻结果,反推出光源、光掩膜等调整参数):已知光刻结108、果,反推出光源、光掩膜等调整参数2.4 2.4 工艺系数(工艺系数(k k1 1)计算光刻技术计算光刻技术u以硅片上要实现图形为目标,反演计算掩膜版上所需要参数以硅片上要实现图形为目标,反演计算掩膜版上所需要参数。逆光刻技术是以晶圆上要实现的图形为目标,反演计算出掩膜版上所需要图形的算法。就是将光学邻近效应校正(OPC)或光源-掩膜交互优化(SMO)的过程看做作为逆向处理的问题,将光刻后的目标图形设为理想的成像结果,根据已知成像结果,根据投影系统空间像的变换模型,反演计算出掩膜图像。ILT不仅是对设计图形做修正以期在晶圆上得到所要的图形,还需把要在晶圆上实现的图形作为目标反演计算出在掩膜上所需109、要的图形。反演光刻通过复杂的数学计算得到一个理想的掩膜图形,用这种方法设计出的掩膜,在曝光时能提供比较高的成像对比度。逆光刻计算原理图 58资料来源:爱集微、各公司官网、半导体行业观察、华金证券研究所2.4.5 2.4.5 现状:国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白现状:国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白2.4 2.4 工艺系数(工艺系数(k k1 1)计算光刻技术计算光刻技术区域区域厂商厂商产品名称产品名称产品类型产品类型描述描述国外ASMLTachyonOPCTachyon OPC+和光刻可制造性检查(Tachyon LMC)应用程序现可整合ASML的110、EUV预生产扫描仪软件模型。SMO国际领先光源-掩膜协同优化软件,全球市场占有率第一。KLAPROLITH MPT包含EUV光刻技术及多重图形技术,帮助IC设计人员评估多光刻变量影响,同时显着减少确定可行解决方案所需的时间。MentorCalibreOPC针对次波长设计,Calibre则利用阶层式验证引擎提供一组套装工具,可以新增或建立模型,并且验证四种主要的解析度强化技术,分别是光学制程修正(OPC)、相位移光罩(PSM)、Scattering Bar和偏轴照明(OAI)技术。SynopsysProteusOPCProteus使用双领域仿真引擎,将场仿真与域仿真二者技术之长集于一身,为密集设111、计和稀疏设计提供最准确 OPC 结果和最快的周转时间。ILTProteus反向光刻技术(ILT)是业界首个、生产部署最广泛的反向光刻解决方案,可提供最大的工艺窗口、更高的产量和更快的上市时间,同时延迟对昂贵的新硬件和多重图案技术的需求。英伟达cuLitho计算光刻软件库英伟达在GPU之上构建cuLitho计算光刻技术软件库,cuDOP用于衍射光学,cuCompGeo用于计算几何,cuOASIS用于优化,cuHierarchy用于AI,cuLitho已被EDA工具厂商新思采用。Anchor SemiconductorI2DCOPCI2DC:将仿真与真实进行对比,以分析OPC工作流程并进行调整。M112、-DPLM-DPL:建立中央数据库用于收集图形搜索、新设备风险分析、OPC模拟薄弱点对比等案例。NanoScope PRV:基于模型的全芯片 Post-RET/OPC 验证软件解决方案,可提供精确光刻工艺建模、全面缺陷检测和分析及工艺窗口限制模式提取等功能。NanoScope PRV国内东方晶源HPOTOPC完全自研,技术处于国际领先,可支持更低技术节点验证。ILT通过深度学习和大数据对光刻制程精确建模,优化工艺窗口,确保良率,同时基于HPOT“的整体设计理念具有上下游可扩展性,无缝连接设计和制造,实现芯片良率的显著提升。宇微光学OPC已成功研发全国产、自主可控计算光刻OPC软件,填补国内空白113、。目前正在做集成与测试,并到芯片生产厂商做验证。u计算光刻软件产品市场被国际供应商垄断,国际巨头各领域优势明显。计算光刻软件产品市场被国际供应商垄断,国际巨头各领域优势明显。主流计算光刻软件主要包括Tachyon(荷ASML/Brion)、Prolith(美国KLA)、Calibre(Mentor)等,其中ASML在SMO有优势,Mentor在OPC优势明显,Synopsys内容丰富。宇微光学、东方晶源推出OPC软件,填补国内OPC空白,且东方晶源创新地解决ILT难题,显著提升良率。59资料来源:光刻机双工件台系统的可靠性分析与试验(李凌)、华金证券研究所u 工作人员对应生产要求向工件台系统输114、入信息指令;进入控制系统的信息经过一系列处理和运算转变为控制信号,其中一部分信号送到硅片台,控制硅片台进行多自由度运动,一部分信号送到掩膜台,控制掩膜台进行多自由度运动,然后各分系统内部检测装置及激光干涉测量仪测量系统将收集到位置数据反馈给控制系统,控制系统再根据反馈数据进行硅片台、掩膜台运动调节,各部件协同合作,如此反复,最终实现双工件台系统的硅片与掩膜装夹、高速步进、高精度扫描和对准、协助硅片掩膜上下片等功能。2.5.1 2.5.1 组成:由掩膜台系统、硅片台系统和控制系统三大部分组成组成:由掩膜台系统、硅片台系统和控制系统三大部分组成2.5 2.5 双工作台系统:精确对准双工作台系统:精115、确对准+光刻机产能的关键光刻机产能的关键双工件台系统工作原理简图光刻机工件台系统功能描述 60资料来源:芯制造、ArF浸没光刻双工件台运动模型研究(李金龙)、ASML阿斯麦光刻公众号、华金证券研究所u双工件台各司其职,曝光后位置职能互换,循环往复提升产能。双工件台各司其职,曝光后位置职能互换,循环往复提升产能。双工件台即一台光刻机内置两个承载晶圆工件台,两个工件台相互独立,但同时运行,一个工件台上晶圆做曝光时,另一个工件台对晶圆做测量等曝光前准备工作。当曝光完成之后,两个工件台交换位置和职能,循环往复实现光刻高产能。u双工作台工作流程:在一套光刻系统里有两个硅片台,它们分别位于曝光位置和测量位116、置,两台独立且同时运行:当硅片台1在曝光位置进行步进扫描曝光时,硅片台2在测量位置完成硅片的上下片、硅片三维形貌测量(map)等准备工作,当硅片台1完成整个硅片的曝光后,两台交换位置和职能,如此循环往复完成硅片的高效曝光。2.5.2 2.5.2 功能:负责对准调平,精密定位,接送硅片功能:负责对准调平,精密定位,接送硅片2.5 2.5 双工作台系统:精确对准双工作台系统:精确对准+光刻机产能的关键光刻机产能的关键TWINTWINSCAN双工件台工作动图TWINSCAN双工件台光刻机运行流程图 61资料来源:ASML阿斯麦光刻公众号、华金证券研究所u1 1)速度快:)速度快:目前最先进的DUV光117、刻机,晶圆的光刻生产速度为300片/h,1个影像单元的曝光成像约0.1秒,实现这个成像速度,晶圆平台需以高达7g的加速度高速移动。u2 2)精确对准:)精确对准:芯片制造是一层层向上叠加的,最高可达上百次叠加,每次重叠的误差称为套刻精度,要求是1-2nm。每次曝光之前,必须针对每片晶圆做精密的量测,截取到晶圆每一个区块纳米等级的微小误差。双晶圆平台在一个晶圆平台在给晶圆进行曝光时,另一个平台可对下一片晶圆进行量测校正。在曝光阶段实时校正,达到纳米等级的准度。u3 3)运动稳定:)运动稳定:ASML光刻机利用所谓的balance mass来吸收平衡晶圆平台所施加于机座的反作用力,完美平衡,整座机118、台完全静止。2.5.3 2.5.3 难点:快、准、稳难点:快、准、稳2.5 2.5 双工作台系统:精确对准双工作台系统:精确对准+光刻机产能的关键光刻机产能的关键双工件台实现测量和曝光的无缝衔接利用balance mass达到实现静止 62分目录分目录01光刻:集成电路制造核心环节光刻:集成电路制造核心环节02040305技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级市场:一超两强格局稳定,新建晶圆厂市场:一超两强格局稳定,新建晶圆厂+产线扩产需求产线扩产需求破局:师夷长技以制夷,星星之火可燎原破局:师夷长技以制夷,119、星星之火可燎原相关标的相关标的3.1 3.1 光刻机产业链图谱光刻机产业链图谱3.2 3.2 光刻机供应链核心环节光刻机供应链核心环节3.3 3.3 发展:技术发展:技术&成本综合驱动光刻机发展成本综合驱动光刻机发展3.4 3.4 出货:出货:EUVEUV光刻增速最快,光刻增速最快,KrFKrF与与l-linel-line仍为主要需求类型仍为主要需求类型3.5 3.5 格局:一超两强格局稳定,格局:一超两强格局稳定,ASMLASML为为EUVEUV光刻机独家供应商光刻机独家供应商3.6 3.6 现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标现状:浸没式光刻机近乎全部限制出口,上海微电子120、产品对标CANONCANON3.7 3.7 需求需求3.7.1 3.7.1 经济衰退后出现显著增长,人工智能有望开启新周期经济衰退后出现显著增长,人工智能有望开启新周期3.7.2 253.7.2 25年晶圆厂设备支出有望超年晶圆厂设备支出有望超1,2001,200亿美元亿美元3.7.3 3.7.3 全球新建晶圆厂浪潮带动光刻机需求全球新建晶圆厂浪潮带动光刻机需求3.7.4 3.7.4 中国晶圆厂独占鳌头,预计至中国晶圆厂独占鳌头,预计至20242024年底建立年底建立5050座大型晶圆厂座大型晶圆厂3.7.5 3.7.5 全球全球8 8寸、寸、1212寸晶圆产能有望持续提升,带动光刻机需求寸晶121、圆产能有望持续提升,带动光刻机需求3.7.6 3.7.6 下游应用蓬勃发展,下游应用蓬勃发展,20302030年半导体规模有望破万亿美元年半导体规模有望破万亿美元 633.1 3.1 光刻机产业链图谱光刻机产业链图谱光源为产生EUV光,光源向快速移动锡滴发射两个独立激光脉冲,锡滴蒸发并产生 EUV光。每秒最多执行 50,000次。真空室EUV光易被吸收,故整个光路及从光源至晶圆的相关光反应都必须在真空室内进行。光掩膜光掩膜内包含芯片图形,在EUV中,光掩膜类似于多层反射器,利用干涉反射光柱EUV系统使用多层反射镜将EUV光传递至晶圆,将光罩图案缩小四倍。工件台工件台每次曝光将晶圆定位在四分之一122、纳米以内,每秒检查和调整20,000次。晶圆传送装置在25m定位精度及2mK以内均匀表面温度下,机械臂通过空气锁将晶圆移入/移出系统真空环境。资料来源:ASML官网、华金证券研究所 64资料来源:基于光刻机全球产业发展状况分析我国光刻机突破路径(郭乾统,李博)、华金证券研究所1 1 组件组件3 3 配套设施配套设施4 4 设计与整机设计与整机5 5 后市场后市场1.1 1.1 光学组件光学组件光学镜片激光光源光束形状设置光束矫正器1.2 1.2 工作台工作台测量台曝光台掩膜台1.3 1.3 曝光组件曝光组件掩膜遮光器能量探测器1.41.4 封闭框架与减震器封闭框架与减震器2 2 系统系统1.5123、1.5 其他其他2.1 光学系统照明子系统镜头子系统自动对焦子系统2.3 传输系统掩膜传输子系统硅片传输子系统2.4 测量系统计算光刻(控制软件)子系统2.5 检测系统光罩缺陷与检测电子束检测子系统2.6 浸没或真空系统步进光刻子系统调平调焦测量子系统数据处理子系统(用于直写式光刻机)2.2 2.2 控制系统控制系统温度控制子系统湿度控制子系统清洁度控制子系统整机控制子系统整机软件子系统3.1 光刻气体3.2 浸没液体3.3 线材4.1 直写式光刻机(无掩膜)4.2 深紫外线光刻机(DUV)4.3 极紫外线光刻机(EUV)5.1 IC前道先进制造5.2 IC后道先进封装5.3 LED 制造5.124、4 面板制造5.5 FDP制造5.6 PCB制造3.1 3.1 光刻机产业链图谱光刻机产业链图谱 653.2 3.2 光刻机供应链核心环节光刻机供应链核心环节组件组件部件部件主要企业及核心技术情况主要企业及核心技术情况光学组件光学镜片可以提供满足193nm光刻等级的熔石英的厂家仅有德国Heraeus公司、Schott公司、美国Corning公司、德国蔡司公司。激光光源顶尖技术专利几乎被美国的Cymer等公司垄断。研磨台主要技术难点在于硅基材料和掩膜版设计,光罩的缺陷和检测设备被美国科磊公司技术垄断。封闭框架与减振器减震器精度达到纳米级别,国外厂商如德国博世,意大利 XT 等。系统温度、湿度、清125、洁度控制子系统温度、湿度、洁净度的控制精度达到万分之一,国外主要厂商有川琦重工等。传输系统传输误差达到5m,国外主要厂商例如荷兰的VDL,意大利的Metroframe等。计算光刻(控制软件)子系统步进精度达到纳米级,软件包的知识产权被国外垄断,国外主要厂商有美国的Brion以及Mentor等。电子束检测子系统检测量测设备和技术被美国科磊公司所垄断。浸没系统浸没的技术难点在于浸没液体高速运动对光学镜片产生气泡、亲疏水影响、长时腐蚀等,目前仅有ASML公司掌握该项技术。配套设施光刻胶能批量稳定制造适用于193nm波长激光的光刻胶,目前被日本合成橡胶、东京樱花、信越化学、富士电子以及美国罗门哈斯垄断126、。设计与整机极紫外光刻机(EUV)光刻精度达到2-3nm,目前仅有 ASML公司具备产业化能力。深紫外光刻机(DUV)光刻精度达到7nm,目前仅有ASML、尼康公司具备产业化能力。资料来源:基于光刻机全球产业发展状况分析我国光刻机突破路径(郭乾统)、华金证券研究所 668寸制程寸制程节点分布节点分布MCUUSBcontrollerRetimer/RedriverPower ICTPMCIST-conDDICAudio ICNor FlashLED DriverMOSFET700nmLED Driver500nm350nmDDICMOSFET250nmMCUPower ICTPMCISAudio127、 IC180nmUSBcontrollerRetimer/RedriverT-conNor Flash160nm150nm130nm110nm90nm90nmuMCU是8英寸晶圆上价格最高的芯片,CPU/GPU在12寸晶圆最赚钱。当MCU需求激增时,8英寸晶圆往往会生产更多的MCU,而不是价格较低的MOSFET。(每次缺货都从毛利较低的器件品类开始)。另一方面,PMIC和DDIC的需求稳定,因此晶圆代工厂商总是为PMIC和DDIC分配一定的产能。在12英寸晶圆厂,CPU和GPU是最赚钱产品,在22nm及以下的先进制程,晶圆代工厂商关心的是其12英寸晶圆厂的盈利,而不是保持100%的产能利用率。128、资料来源:硬件起源、华金证券研究所KrFArF3.3 3.3 发展:技术发展:技术&成本综合驱动光刻机发展成本综合驱动光刻机发展 6712寸制寸制程节点程节点分布分布CPU网络网络ICBMCsCPLD andFPGA存储控存储控制制ICMCUUSB控控制器制器Retimer/redriver IC功率功率ICPMICTPM ICCISDDICT-consNOR闪闪存存IC130nmPower lCDDICNor-Flash100nm90nmMCUUSB控制器Retimer/redriver IC80nmCIST-con65nmBMCTPM IC55nmDDIC45nm网络IC存储控制IC40n129、m28nmCPLD andFPGA22nm14nmCPU10nm5nm3nm资料来源:硬件起源、华金证券研究所在28-55纳米节点范围,有诸多产能竞争者,如DDIC、CIS、TPM、retimer/redriver/MCU和BMC等。KrFArFArFiEUV3.3 3.3 发展:技术发展:技术&成本综合驱动光刻机发展成本综合驱动光刻机发展 68资料来源:硬件起源、华金证券研究所与40nm工艺相比,28nm栅密度更高、晶体管的速度提升了约50%,每次开关时的能耗则减小了50%。在成本几乎相同的情况下,使用28nm工艺可以给产品带来更加良好的性能优势。16nm节点之后 要 用 上FinFET晶体130、管技术,晶圆制造成本会上升至少50%以上。28nm适用范围也十分广泛,能够满足手机、电脑、IoT和各类消费电子相关芯片需求。性能与功性能与功耗比最高耗比最高性价比性价比拐点拐点3.3 3.3 发展:技术发展:技术&成本综合驱动光刻机发展成本综合驱动光刻机发展 69厂商厂商20172018201920202021202220232024202520262027202820292030台积电7nm2Q5nm2Q3nm4Q(FinFET)2nm(GAA)1.4nm1nm三星7nm4Q5nm3Q3nm2Q(GAA)2nm(GAA)1.4nm1nm英特尔10nm4QIntel 73QIntel 4Int131、el3Intrel 20AIntel 18AIntel14AIntel10ARapidus2nm试产2nm量产格罗方德12nmFinFet联电14nm1Q中芯国际14nm3nm世代之争台积电胜出决战2nm:三星/英特尔持续挑战台积电地位1nm世代之争资料来源:半导体全解、华金证券研究所台积电已在2018年实现7nm工艺的量产,且在2020年下半年实现5nm工艺量产,2022年进入到3nm时代,即将进入到2nm时代3.3 3.3 发展:技术发展:技术&成本综合驱动光刻机发展成本综合驱动光刻机发展 703.3 3.3 发展:技术发展:技术&成本综合驱动光刻机发展成本综合驱动光刻机发展72mm271132、.8mm253.3mm297mm2102mm287.4mm296-104mm2125mm289mm283mm2122.2mm216 bit1 Core1 GPU412 MHz32 bit1 Core1 GPU412 MHz32 bit1 Core1 GPU0.8 GHz32 bit2 Core2 GPU0.8 GHz32 bit2 Core3 GPU1.2 GHz64 bit2 Core4 GPU1.3 GHz64 bit2 Core4 GPU1.4 GHz64 bit2 Core6 GPU1.85 GHzL14&N16FF64 bit2 Core6 GPU2.37 GHzN16FF+InFO133、Wafer-level packg4.38 translstors2+4 Core CPU(4+1)GPU+NPU2.4 GHzNIOFF6.98 translstors2+4 Core CPU(6+1)GPU+8NPU2.5 GHzN7FF资料来源:半导体全解、华金证券研究所90nm65nm45nm32nm28nm20nm14/16nm16nm+10nm7nm 71资料来源:半导体综研、ASML、Nikon、CANON、华金证券研究所3.4 3.4 出货:出货:EUVEUV光刻机销量逐步增长,光刻机销量逐步增长,KrFKrF与与l-linel-line仍为主要需求类型仍为主要需求类型u EU134、VEUV光刻机销量逐步增长,光刻机销量逐步增长,KrFKrF与与l-linel-line仍为主要需求类型。仍为主要需求类型。根据各公司官网数据,2023年,全球前三大光刻机厂商销售共计681台,同比增长21.82%;从光刻机类别分析,KrF与l-line仍为市场主流类别,EUV、ArFi、ArF、KrF、l-line出货量分别为53、134、42、242、210台,占比分别为7.78%、19.68%、6.17%、35.54%、30.84%,全球前三大光刻机厂商销售量创历史新高,合计681台。2024Q1全球前三大厂商共计销售光刻机139台,其中EUV光刻机11台,占比约为7.91%。01002135、00300400500600700800201020112012201320142015201620172018201920202021202220232024Q1EUVArFiArFKrFi-line2010-2024Q1全球前三大厂商光刻机销量(台)2010-2024Q1全球前三大厂商光刻机销量同比(%)-200%-100%0%100%200%300%400%500%20112012201320142015201620172018201920202021202220232024Q1EUVArFiArFKrFi-line 72资料来源:ASML、Nikon、Canon、华金证券研究所3.5 136、3.5 格局:一超两强格局稳定,格局:一超两强格局稳定,ASMLASML为为EUVEUV光刻机独家供应商光刻机独家供应商u 20232023年年ASMLASML光刻机销量一骑绝尘,且为光刻机销量一骑绝尘,且为EUVEUV光刻机独家供应商。光刻机独家供应商。根据各公司官网数据,2023年ASML、Canon、Nikon光刻机销量分别为449、187、45台,ASML光刻机销量远超其余两家之和,为Canon、Nikon合计销量1.94倍。从提供光刻机类别层面分析,ASML为EUV光刻机唯一供应商,ASML与Nikon皆能提供ArFi、ArF光刻机,Canon仅能提供KrF、i-line光刻机。53137、1253218455EUVArFiArFKrFl-line2023年全球前三大光刻机厂商销量(台)449台ASML91022456131187台Canon45台Nikon 73公司公司平台平台型号型号应用应用光源光源波长波长工艺节点工艺节点分辨率分辨率NA套刻精度套刻精度产量产量ASML TWINSCAN NXE5000ICEUV13.5nm2nm8nm0.55185wph3600DICEUV13.5nm5nm/3nm13nm0.33160wph3400CICEUV13.5nm7nm/5nm13nm0.331.4/1.5nm170wph3400BICEUV13.5nm7nm/5nm13nm0.138、331.4/2.0nm125wph3350BICEUV13.5nm16nm0.331.5/2.5nm125wph3300BICEUV13.5nm22/18nm0.333.0/5.0nm125wphTWINSCAN NXT2100iICArFi193nm38nm1.35295wph2050iICArFi193nm38nm1.352.5nm295wph2000iICArFi193nm38nm1.352.5nm275wph1980DiICArFi193nm(134nm)10nm38nm1.351.6/2.5nm275wph1970DiICArFi193nm(134nm)20nm38nm0.85-1.139、352.0/3.5nm250wph1965DiICArFi193nm(134nm)20nm38nm0.85-1.352.5/4.5nm250wphTWINSCAN XT1460KICArF193nm65nm65nm0.65-0.933.5/5.0nm205wph1060KICKrF248nm80nm0.50-0.933.5/5.0nm205wph860MICKrF248nm110nm0.55-0.8012/14nm240wph400LIC(3D-NAND)i-line365nm350/280/220nm0.48-0.6512/20nm250wphPAS55001150CICArF193nm90140、nm90nm0.50-0.7512/20nm135wph8TFH-AIC(AITiC wafers)KrF248nm110nm0.55-0.808/17nm25wph850DICKrF248nm110nm110nm0.55-0.8015/25nm145wph750FICKrF248nm130nm130nm0.50-0.7025/40nm130wph450FICi-line365nm220nm0.48-0.65150wph350CICKrF248nm0.15m0.15m0.40-0.6328/60nm88wph275DICi-line365nm0.28m0.48-0.6040/80nm120wp141、h100DICi-line365nm0.40m0.48-0.6060nm100wph资料来源:华瑞博远、ASML、Nikon、Canon、上海微电子、华金证券研究所 购购买买需需出出口口许许可可证证可与EUV平台交叉匹配3.6 3.6 现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标CANONCANON 74公司公司平台平台型号型号应用应用光源光源波长波长节点节点分辨率分辨率NA套刻精度套刻精度产量产量NikonNSR(Immersion&MP)S635EICArF193nm38nm1.351.5/2.1nm275wphS631EIC142、ArF193nm(134nm)38nm1.351.7/2.3nm270wphS622DICArF193nm(134nm)38nm1.35200wphNSR(Scanner)S322FICArF193nm(134nm)65nm0.922.0/5.0nm230wphS220DICKrF248nm110nm0.823.0/6.0nm230wphS210DICKrF248nm110nm0.82176wphNSR(Stepper)SF155ICi-line365nm280nm0.62200wphNES(Stepper)1W-h04(150mm)Assembly/MEMS/LEDh-line405nm2.143、0m0.160.30m63wph1W-h04A(150mm)Assembly/MEMS/LEDh-line405nm1.6m0.160.30m63wph1W-ghi06(150mm)Assembly/MEMSghi-line365-436nm2.30.130.30m101wph2W-ghi06(200mm)Assembly/MEMSghi-line365-436nm2.30.130.35m59wph1W-i06(150mm)IC(discrete/power/analog)i-line365nm2.0m0.130.30m96wph2W-i06(200mm)IC(discrete/power/a144、nalog)i-line365nm2.0m0.130.35m56wph2W-i10(200mm)Assemby/MEMS/LEDi-line365nm3.7m0.070.60m105wphCANONFPA(Scanner)6300ES6aICKrF248nm90nm0.85-0.505.0nm6300ESWICKrF248nm130nm0.45-0.709.0nm日日本本出出口口限限制制资料来源:华瑞博远、ASML、Nikon、Canon、上海微电子、SEMI产业投资平台、华金证券研究所3.6 3.6 现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标现状:浸没式光刻机近乎全部限制出口,上145、海微电子产品对标CANONCANON193nm以上,(波长*0.25/NA)得到的数值45 75公司公司平台平台型号型号应用应用光源光源波长波长节点节点分辨率分辨率NA套刻精度套刻精度产量产量CANONFPA(Stepper)3030EX6ICKrF248nm150nm25nm5550iZ2ICi-line365nm350nm0.57-0.4515/20nm5510iXICi-line365nm500nm0.37-0.2850nm3030i5+ICi-line365nm350nm0.63-0.4540nm5520iVAssemblyi-line365nm1m50nm5510iVAssembly146、i-line365nm1m0.18-0.10300nmMPAspH803FPD2.0m0.5mH763FPD2.5m0.6mE813FPD1.5m0.3m上海微电子SSX600SSA600/20ICArF193nm90nm90nmSSC600/10ICKrF248nm110nm110nmSSB600/10ICi-line365nm280nm280nmSSB500SSB500/40Assemblyi-line365nm2.0mSSB500/50Assemblyi-line365nm1.0mSSB300/30SSB300LEDi-line365nm0.8mSSB320LEDi-line365nm2147、.0mSSB380LEDi-line365nm1.0mSSB200SSB225/10FPD2.0m0.6mSSB225/20FPD1.5m0.5mSSB245/10FPD2.0m0.6mSSB245/20FPD1.5m0.5mSSB260/10TFPD2.0m0.6mSSB260/20TFPD1.5m0.5m3.6 3.6 现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标CANONCANON产品与CANON对标,皆用于成熟制程,浸没式产品暂未推出资料来源:华瑞博远、ASML、Nikon、Canon、上海微电子、华金证券研究所 76资料148、来源:ASML、华金证券研究所3.7 3.7 需求:经济衰退后出现显著增长,人工智能有望开启新周期需求:经济衰退后出现显著增长,人工智能有望开启新周期继互联网泡沫破灭之后的2000年IT支出雷曼兄弟倒闭与全球金融危机存储超级周期新冠疫情期间数字化加速1991-至今全球半导体市场收入同比增长(%)77资料来源:SEMI、华金证券研究所u 2323年半导体制造设备销售额小幅下降,中国仍为半导体设备最大市场。年半导体制造设备销售额小幅下降,中国仍为半导体设备最大市场。根据SEMI数据,2023年全球半导体制造设备销售额从2022年创下的 1,076亿美元的历史最高水平小幅下降1.3%至1,063亿美149、元;中国大陆、韩国和中国台湾在2023年芯片设备支出排名前三,占全球设备市场 72%,其中中国仍是最大的半导体设备市场;中国大陆半导体设备支出同比增长29%,达366亿美元,中国台湾半导体设备支出为196亿美元;由于需求疲软和内存市场库存调整,第二大设备市场韩国的设备支出下降7%至199亿美元。北美半导体设备投资同比增长15%,主要得益于CHIPS和科学法案投资的强劲增长;全球半导体制造设备增长预计将在2024年恢复,预计在前端和后端细分市场的推动下,2025年销售额预计达到1,240亿美元新高。2010-2024Q1全球前三大厂商光刻机销量(台)2022-2025F全球代工厂半导体设备支出预150、测(按应用,十亿美元)0 50 100 150 200 250 300 350 400 中国大陆韩国中国台湾北美日本欧洲其他202220233.7 3.7 需求:需求:2525年晶圆厂设备支出有望超年晶圆厂设备支出有望超1,2001,200亿美元亿美元 78资料来源:SEMI、芯智讯、中芯国际集成电路制造(天津)有限公司T2/T3集成电路生产线项目环境影响报告表、华金证券研究所3.7 3.7 需求:全球新建晶圆厂浪潮带动光刻机需求需求:全球新建晶圆厂浪潮带动光刻机需求u 中国大陆中国大陆2424年底将新建晶圆厂年底将新建晶圆厂3131座,有望带动光刻机需求。座,有望带动光刻机需求。从新建晶圆厂151、层面分析,根据SEMI数据,中国大陆晶圆厂建厂速度全球第一,预计至2024年底,将新建31座大型晶圆厂,且全部为成熟制程。以中芯国际(天津)T2/T3 集成电路生产线扩产项目为例,中芯国际天津厂新增8英寸和12英寸集成电路芯片规格为线宽0.18微米-90纳米项目(扩建产能:8英寸9万片/月,12英寸1万片/月),其中T2车间需要23台紫外光刻机,T3车间需要光刻机共8台(深紫外沉浸式涂胶曝光机4台,深紫外涂胶曝光机3台,紫外涂胶曝光机1台)。设备类型设备类型设备数量设备数量氧化炉管/高温/退火22化学气相沉积(CVD)42涂胶机7去胶机8光刻机 深紫外沉浸式涂胶曝光机4深紫外涂胶曝光机3紫外涂152、胶曝光机1光刻机 8刻蚀25离子注入 13物理气相沉积(PVD)24研磨抛光12清洗17检测 50测试 33其他172019-2023全球当年新建晶圆厂数目(座)中芯国际(天津)T3车间所需设备(台)7901020304050607080902000200120022003200420052006200720082009201020112012201320142015201620172018201920202021中国美国日本韩国德国意大利资料来源:SEMI、电子工程专辑、华金证券研究所3.7 3.7 需求:中国晶圆厂独占鳌头,预计至需求:中国晶圆厂独占鳌头,预计至20242024年底建立年底153、建立5050座大型晶圆厂座大型晶圆厂u中国晶圆厂数目独占鳌头,韩国系后起之秀。中国晶圆厂数目独占鳌头,韩国系后起之秀。根据电子工程专辑数据,截至2021年底中国(包含中国台湾)晶圆厂数目为78座,成为世界上拥有最多晶圆厂国家,美国(46)、日本(44)分别排名第二、第三。近年来韩国加大集成电路投资规模,现为世界第四大晶圆制造国家,截至2021年底韩国拥有25座晶圆厂,后发动力不可小觑。根据SEMI数据,预计至2024年底,中国将新建立50座大型晶圆厂,其中中国台湾预计投入19座。2000-2021年全球主要国家晶圆厂数目(座)80资料来源:SEMI、华金证券研究所3.7 3.7 需求:全球需求154、:全球8 8寸、寸、1212寸晶圆产能有望持续提升,带动光刻机需求寸晶圆产能有望持续提升,带动光刻机需求u 全球全球8 8寸、寸、1212寸晶圆产能有望持续提升,中国市场持续提升,带动国内光刻机需求寸晶圆产能有望持续提升,中国市场持续提升,带动国内光刻机需求。根据SEMI数据,2026年全球300mm晶圆厂产能有望提高至960万片/月,受限于美国出口管制,中国大陆将持续投资于成熟制程,以引领300mm晶圆厂产能,且中国大陆在全球份额有望从2022年的22%增加到2026年的25%,晶圆产能达240万片/月;全球半导体制造商预计将从2021年到2025年将200mm晶圆厂产能提高20%,新增13155、条200mm生产线,产能有望超700万片/月,到2025年,中国大陆将以66%增速在200mm产能扩张方面领先世界,带动前道光刻机市场需求蓬勃发展。2018-2025F全球200mm晶圆产能(万片/月)2021-2026F全球300mm晶圆产能(万片/月)81资料来源:ASML、华金证券研究所3.7 3.7 需求:下游应用蓬勃发展,需求:下游应用蓬勃发展,20302030年半导体规模有望破万亿美元年半导体规模有望破万亿美元1171171041041461462132136%6%10010089891071071311313%3%5050606074741141149%9%40407979104156、10414914914%14%51517474949416016012%12%38385050606082828%8%7676787813613624924913%13%4714715345347217211,0981,0989%9%20202020年市场规模年市场规模(十亿美元)(十亿美元)20232023年市场规模年市场规模(十亿美元)(十亿美元)20252025年市场规模年市场规模(十亿美元)(十亿美元)20302030年市场规模年市场规模(十亿美元)(十亿美元)CAGR 2020-2030CAGR 2020-2030(%)智能手机智能手机个人电脑个人电脑消费电子消费电子汽车电子汽车电子157、工业电子工业电子服务器、数据中心服务器、数据中心和存储和存储通讯设施通讯设施持续迭代图像传感器、模拟、数字、边缘AI处理器等各类芯片高端计算机内存,SSD,边缘AI处理器低功耗和高带宽连接,传感器GPU、传感器、V2X通信芯片等增长强劲大数据、人工智能及传感器将为增长点快速数据处理、调制解调器、基站基础设施等设备更新高性能硬件加速发展,包括GPU、CPU、内存总计总计 82分目录分目录01光刻:集成电路制造核心环节光刻:集成电路制造核心环节02040305技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级市场:一超两强格158、局稳定,新建晶圆厂市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求产线扩产拉动需求破局:师夷长技以制夷,星星之火可燎原破局:师夷长技以制夷,星星之火可燎原相关标的相关标的4.1 ASML4.1 ASML:光刻机行业绝对霸主,实力远超同业:光刻机行业绝对霸主,实力远超同业4.1.1 4.1.1 产品迭代:产品迭代:EUV+DUVEUV+DUV全面覆盖市场,全面覆盖市场,EUVEUV负责超高端市场,负责超高端市场,DUVDUV负责中高端负责中高端4.1.2 DUV4.1.2 DUV:NXTNXT平台显著提升平台显著提升KrFKrF及及ArFArF光刻机生产效率光刻机生产效率4.1.3 EUV4159、.1.3 EUV:首批:首批EXEEXE平台光刻机将于平台光刻机将于2323年交与客户,有望于年交与客户,有望于2525年量产年量产4.1.4 4.1.4 研发与并购:研发费用逐年增长研发与并购:研发费用逐年增长+收购前沿技术公司巩固技术壁垒收购前沿技术公司巩固技术壁垒4.1.5 4.1.5 供应链:全球化供应链条,供应商共计供应链:全球化供应链条,供应商共计5,0005,000家家4.1.6 4.1.6 生态:上下游龙头公司紧密合作,产学研深入发展带动技术革新生态:上下游龙头公司紧密合作,产学研深入发展带动技术革新4.2 Nikon4.2 Nikon:核心技术自主可控,产品服务导向构建良性生160、态循环:核心技术自主可控,产品服务导向构建良性生态循环4.2.1 4.2.1 战略:以高质量与高附加值服务为基础,构建良性生态循环战略:以高质量与高附加值服务为基础,构建良性生态循环4.2.2 4.2.2 驱动增长:化繁为简,少即是多,复制数码相机成功道路驱动增长:化繁为简,少即是多,复制数码相机成功道路4.2.3 4.2.3 技术:核心技术自主可控,贡献长期增长动力技术:核心技术自主可控,贡献长期增长动力4.3 Canon4.3 Canon:技术整合赋能新价值,押注纳米压印光刻:技术整合赋能新价值,押注纳米压印光刻4.3.1 4.3.1 产品:芯片小型化与多样化对设备提出进一步要求产品:芯片161、小型化与多样化对设备提出进一步要求4.3.2 4.3.2 优势:多领域核心技术构筑强大竞争实力优势:多领域核心技术构筑强大竞争实力4.3.3 4.3.3 技术:纳米压印较技术:纳米压印较EUVEUV技术功耗降低技术功耗降低90%90%,性价比优势凸显,性价比优势凸显4.4 4.4 国内进展:乘风破浪会有时,直挂云帆济沧海国内进展:乘风破浪会有时,直挂云帆济沧海 83资料来源:ASML官网、华金证券研究所4.1.1 4.1.1 产品迭代:产品迭代:EUV+DUVEUV+DUV全面覆盖市场,全面覆盖市场,EUVEUV负责超高端市场,负责超高端市场,DUVDUV负责中高端负责中高端4.1 ASML4162、.1 ASML:光刻机行业绝对霸主,实力远超同业:光刻机行业绝对霸主,实力远超同业CustomerCustomerHVMHVMCustomerCustomerR&DR&DArFiArFKrFi-line1.35NA,38 nm0.93NA,57 nm0.80NA,110 nm0.93NA,80 nm0.65NA,220 nmNXT:2000iNXT:2000i2.0 nm|275wph2.0 nm|275wph波长波长criticalNXT:2050iNXT:2050i1.5 nm|295wph1.5 nm|295wphNXT:2100iNXT:2100i1.3 nm|295wph1.3 nm163、|295wphNEXTNEXTNXT:1980DiNXT:1980Di2.5 nm|275wph2.5 nm|275wphNXT:1980EiNXT:1980Ei2.5 nm|295wph2.5 nm|295wphNXT:1980FiNXT:1980Fi2.5 nm|330wph2.5 nm|330wphXT:1460KXT:1460K5 nm|205wph or 7.5 nm|228wph 5 nm|205wph or 7.5 nm|228wph XT:1470KXT:1470K4 nm|300wph4 nm|300wphNEXTNEXTXT:1060KXT:1060K5 nm|205wph164、5 nm|205wphXT:1060K+PEPXT:1060K+PEP5 nm|220wph5 nm|220wphXT:860MXT:860M7 nm7 nm*|240-250wph|240-250wphXT:860NXT:860N7.5 nm|330wph7.5 nm|330wphNXT:870NXT:8707.5 nm|330wph7.5 nm|330wphNEXTNEXTXT:400LXT:400L20 nm20 nm*|230wph|230wphXT:400MXT:400M20 nm20 nm*|250wph|250wphNEXTNEXT202020202021202120222022165、202320232024202420252025NANA,半间距,半间距Mind-criticalXTNXTXTNXTEUV0.33NA,13 nm0.55NA,8 nmNXE:3400CNXE:3400C1.5 nm|1351.5 nm|135wphwph/145/145wphwphNXE:3600DNXE:3600D1.1 nm|160wph1.1 nm|160wphNXE:3600ENXE:3600E1.1 nm|195wph/2201.1 nm|195wph/220wphwphNEX:4000FNEX:4000F0.8nm|0.8nm|220wph220wphCustomer timi166、ng 0.55 NAEarly AccessEarly AccessASMLASMLEXE:5000EXE:5000At ASML fabAt ASML fabNXE:5000NXE:50001.1 nm|150wph1.1 nm|150wphEXE:5200EXE:52000.8nm|0.8nm|220wph220wph 84XTXT:1460K1460KNXTNXT:14701470资料来源:ASML官网、华金证券研究所4.1.2 DUV4.1.2 DUV:NXTNXT平台显著提升平台显著提升KrFKrF及及ArFArF光刻机生产效率光刻机生产效率4.1 ASML4.1 ASML:光刻机行167、业绝对霸主,实力远超同业:光刻机行业绝对霸主,实力远超同业46%46%205 205 wphwph300 300 wphwphXTXT:860N860NNXTNXT:87087027%27%260 260 wphwph330 330 wphwphKrFKrFArFArFu 相较于相较于XTXT平台光刻机,平台光刻机,NXTNXT平台光刻机生产效率显著提升。平台光刻机生产效率显著提升。在光源同为KrF光前提下,XT:860N光刻机生产效率为260wph,NXT:870光刻机生产效率为330wph,效率提升27%。在光源同为ArF光前提下(非浸没),XT:1460K光刻机生产效率为205wph,N168、XT:1470光刻机生产效率为300wph,效率提升46%。XT平台NXT平台生产效率对比(wph)ASML浸没式光刻机发展路线图 85资料来源:ASML官网、光刻人的世界、华金证券研究所4.1.3 EUV4.1.3 EUV:20302030年前后推出年前后推出Hyper NA EUVHyper NA EUV,NANA达到达到0.750.754.1 ASML4.1 ASML:光刻机行业绝对霸主,实力远超同业:光刻机行业绝对霸主,实力远超同业NXTNXTEUV 0.33 NAEUV 0.33 NAEUV 0.55 NA(EXE)EUV 0.55 NA(EXE)u EXEEXE平台为高数值孔径平台169、,具备创新光学设计,旨在支持平台为高数值孔径平台,具备创新光学设计,旨在支持2nm2nm及以下技术节点,将引领未来十年光刻技术发展。及以下技术节点,将引领未来十年光刻技术发展。86051015202530354045502010201120122013201420152016201720182019202020212022ER&DCapex资料来源:ASML官网、华金证券研究所4.1.4 4.1.4 研发与并购:研发费用逐年增长研发与并购:研发费用逐年增长+收购前沿技术公司巩固技术壁垒收购前沿技术公司巩固技术壁垒4.1 ASML4.1 ASML:光刻机行业绝对霸主,实力远超同业:光刻机行业绝对170、霸主,实力远超同业31亿欧元收购Cymer(紫外光源龙头)28亿欧元收购汉微科(电子束晶圆检测设备供应商)10亿欧元收购卡尔蔡司SMT股份有限公司(间接持股24.9%)3亿欧元收购Berliner Glas(陶瓷和光学模组制造商)u 研发投入逐年增长研发投入逐年增长+战略收购,巩固技术壁垒。战略收购,巩固技术壁垒。根据ASML数据,2022年公司研发费用预计达到15亿元,为去年同期1.67倍,研发费用显著增长。为加速EUV研发与升级迭代ASML通过收购与参股等方式将细分领域龙头公司纳入自有体系,如2013年31亿欧元收购Cymer(紫外光源龙头)、2016年28亿欧元收购汉微科(电子束晶圆检测171、设备供应商)等。ASML研发、资本支出及收购详情(亿欧元)87资料来源:ASML官网、电子产品世界、华金证券研究所4.1.5 4.1.5 供应链:全球化供应链条,供应商共计供应链:全球化供应链条,供应商共计5,1005,100家家4.1 ASML4.1 ASML:光刻机行业绝对霸主,实力远超同业:光刻机行业绝对霸主,实力远超同业供应商共计5,100家1,6007501,3501,400荷兰欧洲(除荷兰)、中东及非洲北美亚洲u供应链条全球化分布于欧洲、中东、非洲、北美及亚洲,共计供应链条全球化分布于欧洲、中东、非洲、北美及亚洲,共计5,1005,100家。家。根据电子产品世界数据,ASML90%172、零部件都来自于外购,ASML只负责掌握最核心技术及集成。根据ASML2023年年报,公司供应商多达5,100家,其中来自荷兰本土供应商大约有1,600家,占支出40%,来自北美和亚洲供应商分别为1,350及1,400家,占支出分别为13%及7%,来自EMEA地区(欧洲、中东和非洲,不包含荷兰)供应商有750家,占支出40%,总支出共计155亿欧元。序号序号公司名称公司名称主营产品主营产品国家国家/地区地区1Cymer(被ASML收购)极紫外光源美国2卡尔蔡司镜头德国3Photronics光掩膜版美国4Sparton机电设备美国5Lumentum激光器美国6磁谷光刻微激光系统美国7LMI航空激光173、设备组配件美国8Entegris污染控制、先进材料美国9Axcelis离子注入机套件美国10MKS Instruments仪表和控制系统美国11贰陆股份高功率激光材料加工系统美国12Gigaphoton准分子激光源日本13汉微科(被ASML收购)电子束检测设备中国台湾14公准精密模组模具中国台湾15信邦电子高阶线材、PCB 与整机组装中国台湾荷兰EMEA北美亚洲155亿欧元ASML全球供应商体系ASML部分供应商名单 884.1.6 4.1.6 生态:上下游龙头公司紧密合作,产学研深入发展带动技术革新生态:上下游龙头公司紧密合作,产学研深入发展带动技术革新资料来源:ASML官网、华金证券研究所174、4.1 ASML4.1 ASML:光刻机行业绝对霸主,实力远超同业:光刻机行业绝对霸主,实力远超同业下游客户供应商纳米光刻高级研究中心技术合作伙伴技术合作伙伴学院解决方案合作商 894.2.1 4.2.1 战略:以高质量与高附加值服务为基础,构建良性生态循环战略:以高质量与高附加值服务为基础,构建良性生态循环资料来源:Nikon、华金证券研究所4.2 Nikon4.2 Nikon:核心技术自主可控,产品服务导向构建良性生态循环:核心技术自主可控,产品服务导向构建良性生态循环提供满足客户需求产品增加客户满意度提供高附加值服务通过提供高精度、高生产效率产品及高附加值服务提高客户满意度捕捉产品新需求175、打造良性循环商业模式产品相互补充跨越经济周期交付满足客户需求产品 基于半导体下游应用不断扩张,通过发布新产品扩大产品矩阵,增加销售额并逐步提升市场份额 i-line/KrF光刻机为光刻机主要销售类型(主要是200mm)i-line/KrF 搭载对准站实现更高精度与生产效率 ArF浸没式光刻机将成为主流设备,其目标客户群主要涉及3D-NAND存储器、CIS等领域ArF Dry/Immersion 加强对已安装光刻机更换及使用寿命延长支持 为提高性能或修改移动线路等需求提供针对性支持 为增置测量仪器程提供解决方案半导体二维半导体三维半导体内存平置,内存容量有限(单层房屋)垂直分层,增加内存容量(高176、层建筑)提供高附加值服务晶圆翘曲晶圆平坦二维三维晶圆在垂直分层过程中翘曲Nikon产品战略 904.2.2 4.2.2 驱动增长:化繁为简,少即是多,复制数码相机成功道路驱动增长:化繁为简,少即是多,复制数码相机成功道路资料来源:Nikon、华金证券研究所4.2 Nikon4.2 Nikon:核心技术自主可控,产品服务导向构建良性生态循环:核心技术自主可控,产品服务导向构建良性生态循环社会与产业需求 设备兼具高效率光刻与易操作性 通过减少光掩膜减少流程及降低成本与生产环节负担通过减少光掩膜减少流程及降低成本与生产环节负担Nikon优势 光学系统兼具高分辨率和生产效率 支持逐芯片ID光刻、更大光177、刻面积及高分辨率先进封装商业发展 与上下游产业链合作并加速商业化 加码研发,从原型机推进到商业化,提供与现存光刻系统差异化价值胶卷相机胶卷CMOS传感器数码相机半导体光刻系统光掩膜微镜装置数字光刻PDF光刻系统u 光刻机光刻机-掩膜版掩膜版+微镜装置微镜装置=数字光刻。数字光刻。相机产业革命中,通过去除胶卷叠加配置CMOS传感器,使胶卷相机退出历史舞台,数码相机成为市场新宠。数字光刻通过去除光掩膜并配置数字微镜装置,满足产业减少流程、降低成本与生产环节负担等需求。914.2.3 4.2.3 技术:核心技术自主可控,贡献长期增长动力技术:核心技术自主可控,贡献长期增长动力资料来源:Nikon、华178、金证券研究所4.2 Nikon4.2 Nikon:核心技术自主可控,产品服务导向构建良性生态循环:核心技术自主可控,产品服务导向构建良性生态循环u 数据转换系统、数据转换系统、SLMSLM及固体激光器为数字光刻机核心组件。及固体激光器为数字光刻机核心组件。数据转换系统负责将数据信息高速转换为SLM所需数据;空间光调变器(SML)主要由微镜装置及高速镜像驱动构成,利用SLM可以方便地将信息加载到一维或二维光场中,利用光宽带宽,多通道并行处理等优点对加载信息进行快速处理,它是构成实时光学信息处理、光互连、光计算等系统核心器件;固体激光器为Nikon自主开发,其波长兼容193nm、248nm且频率较179、高。数字光刻机工作原理 180nm 节点逻辑IC 92光刻机(WLP)FPA-5520iV4.3.1 4.3.1 产品:芯片小型化与多样化对设备提出进一步要求产品:芯片小型化与多样化对设备提出进一步要求资料来源:Canon、华金证券研究所4.3 Canon4.3 Canon:技术整合赋能新价值,押注纳米压印光刻:技术整合赋能新价值,押注纳米压印光刻专注于SoC量产产品矩阵纳米压印光刻机FPA-1200NZ2C高生产效率KrF光刻机FPA-6300ES6a晶圆计量设备MS-001高生产效率i-line光刻机FPA-5550iZ2用于SiP多品种产品矩阵光刻机(PLP)FPA-8000iWi-li180、ne光刻机(IoT)FPA-3030i5a FPA-3030iWaKrF光刻机(IoT)FPA-3030EX6u 小型化与多样化依旧为芯片发展主流趋势,对设备提出更高要求。小型化与多样化依旧为芯片发展主流趋势,对设备提出更高要求。在小型化方面,Canon高生产效率i-line与KrF光刻机收到市场广泛认可,且产品线涉及纳米压印光刻机等技术路线。在多元化方面,佳能针对不同用途开发及优化光刻设备,获得较大市场份额。Canon光刻机产品矩阵 93原子扩散键合技术对准技术真空镀膜技术晶圆薄膜处理技术自动化技术光学产品光学产品业务业务4.3.2 4.3.2 优势:多领域核心技术构筑强大竞争实力优势:多领181、域核心技术构筑强大竞争实力资料来源:Canon、华金证券研究所4.3 Canon4.3 Canon:技术整合赋能新价值,押注纳米压印光刻:技术整合赋能新价值,押注纳米压印光刻下一代半导体制造装备核心技术整合赋能新价值下一代显示器制造设备测量设备组件机械机械AnelvaAnelvaTokkiTokki纳米压印技术超高精度光学技术溅射技术 944.3.3 4.3.3 技术:纳米压印较技术:纳米压印较EUVEUV技术功耗降低技术功耗降低90%90%,性价比优势凸显,性价比优势凸显资料来源:Canon、华金证券研究所4.3 Canon4.3 Canon:技术整合赋能新价值,押注纳米压印光刻:技术整合赋182、能新价值,押注纳米压印光刻u纳米压印技术可实现更精细化图案。纳米压印技术可实现更精细化图案。作为下一代半导体光刻设备,Canon专注于开发纳米压印工具,可以在10纳米范围内实现半导体电路图案光刻,相当于逻辑IC中4纳米或5纳米节点水平。使用这项技术,可实现更精细化图案。u纳米压印技术能耗更低。纳米压印技术能耗更低。与多次曝光EUV光刻机及ArF浸没光刻机相比,纳米压印技术能耗更低。在相同线宽前提下,纳米压印技术仅消耗约EUV光刻机能量1/10,可以显着降低制造成本。从3D NAND量产开始,Canon将纳米压印系统可生产器件已扩展到DRAM和微光学元件领域。Canon纳米压印技术光刻机FPA-183、1200NZ2C晶圆制造成本对比(15nm)各类型光刻机功耗对比(15nm)95资料来源:半导体产业纵横、天天IC、华金证券研究所4.4 4.4 国内进展:乘风破浪会有时,直挂云帆济沧海国内进展:乘风破浪会有时,直挂云帆济沧海u各细分领域技术均有储备,静待各细分领域技术均有储备,静待28nm28nm光刻机王者归来。光刻机王者归来。从光刻机核心技术领域分析,针对准激光光源,科益虹源主要研发248nm准分子激光器、干式193nm准分子激光器等;福晶科技研发KBBF晶体;中科院研发40瓦干式准激光光源;针对光学镜头,国望光学研发90nm节点ArF光刻机曝光光学系统、110nm节点KrF光刻机曝光光学184、系器统,中科科仪研发直线式劳埃透镜镀膜装置、纳米聚焦镜镀膜装置等。u在部分光刻机核心系统方面,国内以国科精密、华卓精科为代表的新锐力量也完成部分项目论证。国科精密作为国家科技重大专项02专项支持的唯一高端光学技术研发单位,正在承担NA0.82、NA1.35等多种类型高端IC制造投影光刻机曝光光学系统的技术研发及产业化推进工作;华卓精科是上海微电子唯一的光刻机工件台供应商,作为世界上第二家掌握双工件台核心技术的公司,华卓精科成功推出第一台满足65nm光刻机需求的双工件台样机,打破ASML公司在工件台上技术垄断。公司公司/机构名称机构名称技术领域技术领域产品产品上海微电子光刻机90纳米光刻机已获突185、破,28纳米国产浸没式光刻机待交付华卓精科双工件台双台系列主要应用于65纳米及以下节点ArF干式、浸没式步进扫描光刻机、KrF步进扫描光刻机科益虹源准激光光源248纳米准分子激光器、干式193 纳米准分子激光器、浸没式193纳米准分子激光器福晶科技KBBF晶体(用于激光设备的上游关键零部件)中科院40瓦干式准激光光源哈工大极紫光光源12瓦DPPEUV光源清华大学和联邦技术学院SSMB光源中科院高能同步光源设备长春国科精密光学镜头系统90纳米EUV镜头、高端光刻机曝光光学系统、日盲紫外探测模组、高端光学检测产品长春光机所32纳米EUV镜头国望光学90纳米节点ArF光刻机曝光光学系统、110纳米节186、点KrF光刻机曝光光学系统中科科仪直线式劳埃透镜镀膜装置纳米聚焦镜镀膜装置东方晶源微电子检测系统光刻机高速、高精度检测系统启尔机电浸液系统光刻机浸液系统光刻机制造关键核心领域国产化现状 96分目录分目录01光刻:集成电路制造核心环节光刻:集成电路制造核心环节02040305技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级市场:一超两强格局稳定,新建晶圆厂市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求产线扩产拉动需求破局:师夷长技以制夷,星星之火可燎原破局:师夷长技以制夷,星星之火可燎原相关标的相关标的5.15.1187、芯碁微装(直写光刻):产品矩阵不断突破,技术参数行业领先芯碁微装(直写光刻):产品矩阵不断突破,技术参数行业领先5.25.2富创精密(零部件):全球位数不多可供应富创精密(零部件):全球位数不多可供应7nm7nm精密零部件精密零部件5.35.3炬光科技(光学器件):相关光场匀化器产品为顶级光学公司供应炬光科技(光学器件):相关光场匀化器产品为顶级光学公司供应5.45.4赛微电子(物镜):可生产光刻机透镜系统和赛微电子(物镜):可生产光刻机透镜系统和MEMSMEMS器件器件5.55.5波长光电:已具备提供光刻机配套的大孔径光学镜头能力波长光电:已具备提供光刻机配套的大孔径光学镜头能力5.65.6188、奥普光电(整机):光机装调技术水平在多应用技术领域处于国内领先水平奥普光电(整机):光机装调技术水平在多应用技术领域处于国内领先水平5.75.7腾景科技(光学器件):合分束器项目处于样品试制阶段腾景科技(光学器件):合分束器项目处于样品试制阶段5.85.8福晶科技(光源):全球非线性光学晶体龙头厂商福晶科技(光源):全球非线性光学晶体龙头厂商5.95.9茂莱光学:精密光学领域稀缺性标的,产品进入上海微电子供应链茂莱光学:精密光学领域稀缺性标的,产品进入上海微电子供应链5.105.10上海微电子上海微电子(整机整机):):保留光刻革命火种,静待保留光刻革命火种,静待28nm28nm光刻机王者归来189、光刻机王者归来5.115.11华卓精科(工件台):国内首家自主研发并商业化的双工件台厂商华卓精科(工件台):国内首家自主研发并商业化的双工件台厂商 97资料来源:公司公告、华金证券研究所整理环节环节简称简称简介简介整机上海微电子目前其已量产光刻机主要有SSX600、SSX500、SSB300、SSB200小Mask、SSB200大Mask等系列。奥普光电长春光机所为其控股股东,光机装调技术水平在多应用技术领域处于国内领先水平部件光源波长光电在半导体应用领域,公司已具备提供光刻机配套的大孔径光学镜头的能力。公司成功开发的光刻机平行光源系统可用于国产光刻机领域配套,并已交付多套系统用于接近式掩膜芯190、片光刻工序。在激光检测和测量方向,公司产品目前已进入半导体光刻领域配套检测产业。茂莱光学光刻机系统光学器件加工和镀膜技术项目已完成小批量试制,进入产业化推广阶段。广泛应用于光刻机照明、曝光等光学系统的制造,为客户提供稳定可靠的核心光学器件,助力国产光刻机生产自主可控。福晶科技公司是全球知名的 LBO 晶体、BBO 晶体、Nd:YVO4 晶体、磁光晶体、精密及超精密光学元件、高功率光隔离器、声光及电光器件的龙头厂商。物镜赛微电子光刻机透镜部件MEMS器件。计算/控制模块电科数字为光刻机等半导体装备生产商提供国产化计算、控制模块和产品。洁净设备蓝英装备精密清洗设备面向的高端芯片制造行业企业客户包括191、光刻机制造企业的合资(参股)公司,该等客户负责制造光刻机的核心光学系统。美埃科技为上海微电子开发国内首台28纳米光刻设备工艺制程所需的机台内国际最高洁净等级标准(ISO Class 1 级)洁净环境提供 EFU(超薄型设备端自带风机过滤机组)及 ULPA(超高效过滤器)等产品,亦已验收合格,助力国内光刻机事业突破卡脖子技术难题。光学器件腾景科技根据公司2023年年报,其合分束器项目处于样品试制阶段,该项目应用于光刻机光学系统,为满足客户对合分束器及国产替代进口的需求。炬光科技公司的光场匀化核心技术,能够实现对激光光束的高度匀化,满足半导体制程等高端应用需求,相关光场匀化器产品供应给世界顶级光学192、公司,最终应用于全球先进半导体制程设备中。5.0 5.0 相关标的汇总相关标的汇总 98资料来源:公司公告、华金证券研究所整理环节环节简称简称简介简介部件零部件/结构件新莱应材公司产品可以覆盖半导体产业除设计之外的全制程,经过多年持续努力,公司产品通过了美国排名前二的半导体应用设备厂商的认证并成为其一级供应商,填补了国内超高纯应用材料的空白。富创精密公司可提供工艺零部件、结构零部件、模组产品、气体管路等多品类产品,相关产品广泛应用于晶圆制造环节最核心的刻蚀、薄膜沉积、光刻及涂胶显影、化学机械抛光、离子注入等前道先进制程设备。温控同飞股份公司凭借良好的行业口碑,依托多项自主知识产权,已逐步拓展了193、北方华创、芯碁微装、晶盛机电、华海清科、上海微电子、中国电子科技集团公司第四十八研究所、连城数控等。海立股份公司为上海微电子相关设备提供冷却系统配套,已实现供货。服务东方嘉盛公司是专业的一体化供应链服务商,为光刻机龙头客户提供寄售维修保税仓库服务业务。直写光刻芯碁微装公司直写光刻设备覆盖PCB制造、IC/MEMS/生物芯片/分立功率器件等制造、IC 掩膜版制造、先进封装、显示光刻、新能源光伏等多个细分应用领域。其他京华激光公司控股的美国菲涅尔制版科技公司主要从事光学制版用光刻机的研发工作,所研发的光刻机与半导体领域的光刻机在精准度、复杂度等方面存在较大的差异,并且不具备通过技术改进升级为芯片光194、刻机的可能性,故公司在光刻机领域的布局主要集中在光学制版用光刻机的深度研发。5.0 5.0 相关标的汇总相关标的汇总 99资料来源:芯碁微装招股说明书、芯碁微装2023年年报、华金证券研究所u在技术参数上,公司泛半导体直写光刻设备 LDW 系列光刻精度能达到最小线宽 350-500nm,2023 年公司已完成了 90nm 技术节点制版应用的研发,首台设备已发至客户端验证。公司晶圆级封装设备(WLP2000)具备高分辨率、高产能、全自动化等显著优势,可无缝集成客户产线中,以低至 2um 分辨率实现量产,涉及工艺有垂直布线 TSV、水平布线 Bumping 的 RDL 环节等,以灵活的数字掩膜和高195、良品率满足半导体行业的要求,目前已有多台设备发交付客户端,产品的稳定性和功能已经得到验证。公司在 PLP 板级封装也有布局,PLP3000 封装设备分辨率达 3m,支持在模组、光芯片、功率器件等领域的封装。5.1 5.1 芯碁微装(直写光刻):产品矩阵不断突破,技术参数行业领先芯碁微装(直写光刻):产品矩阵不断突破,技术参数行业领先采用 DMD 的直写光刻技术原理示意图泛半导体直写光刻设备结构图(以 LDW-X6 为例)100资料来源:富创精密招股说明书、富创精密2023年年报、华金证券研究所u公司的产品为半导体设备、泛半导体设备及其他领域的精密零部件,具体包括工艺零部件、结构零部件、模组产品196、和气体管路。公司是国内少有的能够提供满足甚至超过国际主流客户标准的精密零部件产品的供应商,也是全球为数不多的能够为7纳米工艺制程半导体设备批量提供精密零部件的厂商。大陆以外,公司已进入客户A、东京电子、HITACHI High-Tech和 ASMI等全球半导体设备龙头厂商供应链体系,并且是客户A的全球战略供应商。5.2 5.2 富创精密(零部件):全球位数不多可供应富创精密(零部件):全球位数不多可供应7nm精密零部件精密零部件工艺流程工艺流程高温扩散高温扩散光刻及相关的涂胶显影光刻及相关的涂胶显影刻蚀刻蚀 离子注入离子注入薄膜沉积薄膜沉积化学机械抛光化学机械抛光应用公司产品的前道设备立式扩散197、炉光刻机、涂胶显影设备硅刻蚀设备、介质刻蚀设备、金属刻蚀设备大束流、中束流和高能离子注入设备PVD、CVD、ALD设备CMP 设备对应公司主要产品品类和代表性产品工 艺 零 部 件(腔体)、结 构 零 部 件(基 板 等 多种产品)结构零部件(基板、冷却板等多种产品)工艺零部件(腔体、内衬、匀气盘)、结构零部件(流量计底座、铰链底座、盖板、基座等多种产品)、模组产品(腔体模组、刻蚀阀体模组和气柜模组)、气体管路工艺零部件(腔体)、模组产品(离子注入机模组、气柜模组)、气体管路工艺零部件(腔体、匀气盘)、结构零部件(流量计底座、铰链底座、支架等多种产 品)、模 组 产 品(腔体模组、气柜模组)、198、气体管路结构零部件(托盘轴、铸钢平台、定子冷却套等多种产品)代表性境外客户客户A客户A、HITACHI High-Tech客户A客户A、ASMI客户A代表性境内客户北方华创上海微电子、芯源微北方华创、中微公司中科信装备、凯世通北方华创、拓荆科技华海清科应用最高制程水平7 纳米96 纳米(光刻机)/28纳米(涂胶显影设备)7 纳米28纳米7 纳米14 纳米富创精密零部件主要应用领域 101资料来源:炬光科技2023年年报、华金证券研究所u光场匀化器将光强分布不够均匀、不能满足特定应用需求的入射光通过光束整形变换为光强分布均匀性提高、能够满足应用需求的光学元器件。光场匀化器是多项光学高端设备的重要199、核心元器件,可将激光包括准分子激光器出射光束,均匀地照射在被加工处理活检测的集成电路晶圆上。公司的光场匀化核心技术,能够实现对激光光束的高度匀化,满足半导体制程等高端应用需求,相关光场匀化器产品供应给世界顶级光学公司,最终应用于全球先进半导体制程设备中。炬光科技光场匀化器产品及应用领域5.3 5.3 炬光科技(光学器件):相关光场匀化器产品为顶级光学公司供应炬光科技(光学器件):相关光场匀化器产品为顶级光学公司供应整片透镜或透镜组,单面或双面柱面阵列结构,面型结构与外形尺寸可定制。应用于半导体制程的光学系统,将激光光场强度进行匀化。主要解决激光光场不均匀的问题。激光光场强度不均匀会造成在半导体200、晶圆表面曝光不均,影响晶圆加工的成品率。通过光场匀化器对激光光斑进行匀化,可实现对半导体晶圆表面均匀加工,提升良率。102资料来源:赛微电子官网、赛微电子2023年年报、华金证券研究所uMEMS是指利用半导体生产工艺构造的集微传感器、信号处理和控制电路、微执行器、通讯接口和电源等部件于一体的微米至毫米尺寸的微型器件或系统;MEMS 将电子系统与周围环境有机结合在一起,微传感器接收运动/压力/光/热/声/磁/温湿度等各类物理、化学或生物信号,信号再被转换成电子系统能够识别、处理的电信号,部分MEMS器件可通过微执行器实现对外部介质的操作功能。u截至2023年,北京 FAB3 已实现硅麦克风、BA201、W 滤波器、微振镜、超高频器件的量产,在进行小批量试产 MEMS 气体传感器、生物芯片、惯性加速度计、惯性 IMU 等,同时对于压力、温湿度、硅光子、振荡器、光刻机透镜部件光刻机透镜部件等MEMS器件,正积极从工艺开发向验证、试产、量产阶段推进。公司MEMS产品类别5.45.4 赛微电子(物镜):可生产光刻机透镜系统和赛微电子(物镜):可生产光刻机透镜系统和MEMS器件器件为客户提供定制的产品制造流程 103资料来源:波长光电招股说明书、华金证券研究所u公司激光光学系列可以应用于多个波长范围(180nm到10600nm)、多种类型激光器的光路设计,包括了波长为 9.4m/10.6m的CO2激光202、器、波长为266nm/355nm的紫外固体激光器、波长为405nm的蓝光固体激光器、波长为808nm/915nm/980nm/1064nm的半导体激光器、光纤激光器和 Nd:YAG 激光器等。在半导体应用领域,公司已具备提供光刻机配套的大孔径光学镜头的能力。公司成功开发的光刻机平行光源系统可用于国产光刻机领域配套,并已交付多套系统用于接近式掩膜芯片光刻工序。波长光电的主要激光光学产品5.5 5.5 波长光电:已具备提供光刻机配套的大孔径光学镜头能力波长光电:已具备提供光刻机配套的大孔径光学镜头能力产品名称产品名称产品类别产品类别图例图例产品简介产品简介技术特点及应用领域技术特点及应用领域扩束镜203、头光学组件通过改变平行入射 的激光光束的直径 来改善激光的发散 特性,最终改变聚 焦光斑大小;根据 客户加工需要来选 择合理的扩束镜倍率 公司扩束镜的有效入射 标 准 直 径 涵 盖 5 至 30mm,倍率范围从 1x 到 30 x,可连续改变倍 率,能承受高功率的脉 冲或连续激光;应用于激光打标、钻 孔、测距等领域 扫描镜头光学组件使平行入射的激光 光束聚焦,配合单 轴转动或双轴转动 的扫描振镜,聚焦 点在一定直线范围 内或平面范围内实 现一维或二维的聚 焦、扫描 配合振镜使用,加工范 围与振镜偏振角呈线性 关系,可通过振镜电机 精确控制加工范围,加 工速度快;公司的扫描镜的有效入 射标准直204、径涵盖 6 至 50mm,扫 描 范 围 从 50*50 到 700*700mm2;应用于激光打标、焊 接,清洗、切割、打 孔、3D 打印等领域聚焦镜光学元件使平行或发散的激 光汇聚,聚焦光斑 在埃利斑衍射极限 内 聚焦光斑圆度98%,公司聚焦镜的有效入射 标 准 直 径 涵 盖 10 至 50mm;应用于激光切割、焊 接、晶圆划片、美容医 疗等领域准直镜光学组件压缩大发散角的激 光光束成为准平行 激光束公司准直镜的标准数值 孔 径,涵 盖 0.09 至 0.25;应用于光束准直和整形 等领域 104资料来源:奥普光电官网、华金证券研究所u坚持技术引领以质取胜战略,在依托光机所和自身技术经验的205、同时,致力于整合外部产业价值链资源,提升公司价值。公司光机装调技术水平目前在许多应用技术领域处于国内领先水平。核心技术主要包括:空间自由曲面系统装调、离轴三反成像系统装调、同轴大口径成像系统装调、高精度镜头定心装调、大尺寸像面CCD拼接技术、光电产品过程检验及最终检验技术等。u依托国家科技重大04专项,成功研究出2000mm 非球面超精密铣磨设备和多自由度快速研抛2台设备,产出15项专利,10篇论文。近三年来承担并完成2项国家重点研发计划,成功研制出纳米分辨能力的随机光学重建及快速实时成像系统设备,产出11项专利,7篇论文。近三年累计中报专利127项,其中发明专利65件,通过授权专利60项,发206、表SCI文章11篇。多自由度研抛设备5.65.6 奥普光电(整机):光机装调技术水平在多应用技术领域处于国内领先水平奥普光电(整机):光机装调技术水平在多应用技术领域处于国内领先水平非球面超精密铣磨设备光学元件加工的面型精度及表面粗糙度可达纳米级 105资料来源:腾景科技官网、腾景科技2023年年报、爱采购、华金证券研究所u公司产品主要包括精密光学元组件、光纤器件两大类,主要应用于光通信、光纤激光等领域,部分应用于科研、生物医疗、消费类光学、半导体设备等领域。公司生产的精密光学元组件产品主要包括平面光学元件、球面光学元件、模压玻璃非球面透镜、光学组件等。公司的光纤器件产品主要包括镀膜光纤器件、207、准直器、声光器件及其他光纤器件等。u根据公司2023年年报,其合分束器项目处于样品试制阶段,该项目应用于光刻机光学系统,为满足客户对合分束器及国产替代进口的需求。合分束器在光刻机中的主要作用是将激光束分束成多个独立的光束,用于控制光刻头的发射方向和位置。这样可以控制光刻机在工作时的精度和效率,从而保证半导体芯片的品质。腾景科技核心技术5.75.7 腾景科技(光学器件):合分束器项目处于样品试制阶段腾景科技(光学器件):合分束器项目处于样品试制阶段公司部分产品示意图声光调制器光电二极管PD/分光器(TAP)光纤合束器高功率准直器 106资料来源:福晶科技年报、电子研发网、华金证券研究所类别类别产208、品产品图示图示用途用途晶体非线性光学晶体、激光晶体、双折射晶体、磁光晶体、声光及电光晶体、闪烁晶体等主要用途:作为固体激光器工作物质、非线性频率转换、磁光材料、电光材料等主要细分应用市场:固体激光器、光纤激光器等精密光学元件非球面透镜、球面透镜、柱面透镜、反射镜、窗口片、棱镜、波片、偏振镜、分光镜、光栅等主要用途:应用于激光器谐振腔、准直聚焦、光路传输、光束整形、偏振转换、分光合束等主要细分应用市场:固体激光器、光纤激光器、光通讯、AR/VR、激光雷达、半导体设备、光学检测设备、分析仪器、生命科学等激光器件磁光器件、声光器件、电光器件、驱动器、光开关、光学镜头(扫描场镜、扩束镜)、光纤传输器件209、等主要用途:光纤与固体激光器的声光调制器、电光调制器、Q 开关、隔离器等主要细分应用市场:固体激光器、光纤激光器、光通讯等u福晶科技主要业务处于激光行业上游,以市场需求为导向,不断完善和丰富产品结构及品类,构建品种较为齐全产品体系。产品形态涵盖晶体元器件、精密光学元件和激光器件三大类。公司产品主要用于固体激光器、光纤激光器制造,是前述激光器系统核心元器件,部分精密光学元件应用于光通讯、AR、激光雷达、半导体设备、光学检测设备、分析仪器、生命科学和科研等领域。福晶科技产品及主要用途5.8 5.8 福晶科技(光源):全球非线性光学晶体龙头厂商福晶科技(光源):全球非线性光学晶体龙头厂商 107资料210、来源:茂莱光学招股说明书、华金证券研究所u 公司专注于精密光学器件、光学镜头及光学系统的研发、设计、制造和销售。公司建立了完善的运营管理体系和营销服务体系,销售网络覆盖欧洲、北美、中东等国家和地区。公司深耕光学行业数十载,拥有较强的研发实力、制造工艺,并通过不断引进全球高端光学制造、检测设备,保证公司产品可实现较优的技术性能,满足上述知名客户的定制化、差异化的产品需求。下游领域下游领域主要客户主要客户生命科学ALIGN、华大智造、Bio-Rad、Thermo Fisher 等半导体Camtek、KLA、CYBEROPTICS、Onto Innovation、上海微电子等航空航天北京空间机电研究211、所(508 所)等无人驾驶谷歌母公司 Alphabet 旗下自动驾驶平台 Waymo 等生物识别IDEMIA、HID等AR/VR 检测Microsoft、Facebook 等茂莱光学精密制造工艺设备茂莱光学主要客户及应用领域5.95.9 茂莱光学:精密光学领域稀缺性标的,产品进入上海微电子供应链茂莱光学:精密光学领域稀缺性标的,产品进入上海微电子供应链 108资料来源:上海微电子官网、华金证券研究所型号型号SSA600/20 SSC600/10SSB600/10分辨率90nm110nm280nm 曝光光源ArF excimer laserKrF excimer laseri-line merc212、ury lamp镜头倍率 1:4 1:4 1:4硅片尺寸200mm或300mm200mm或300mm200mm或300mm产品图u上海微电子致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域。目前其已量产光刻机主要有SSX600、SSX500、SSB300、SSB200小Mask、SSB200大Mask等系列。其中,SSX600系列步进扫描投影光刻机采用四倍缩小倍率的投影物镜、工艺自适应调焦调平技术,以及高速高精的自减振六自由度工件台掩膜台技术,可满足IC前213、道制造 90nm、110nm、280nm关键层和非关键层的光刻工艺需求,该设备可用于8吋线或12吋线的大规模工业生产。上海微电子600系列光刻机 IC前道制造5.10 5.10 上海微电子上海微电子(整机整机):保留光刻革命火种,静待保留光刻革命火种,静待28nm光刻机王者归来光刻机王者归来 109资料来源:华卓精科招股说明书(申报稿)、华金证券研究所产品系列产品系列产品图示产品图示产品特性产品特性技术参数技术参数研发研发/生产阶段生产阶段DWS系列采用磁悬浮平面电机驱动,多轴激光干涉位移测量。用于I-line、KrF和ArF干式光刻机,产率150 片/小时运动平均偏差:4.5nm运动标准偏差214、:7nm最大速度:1.1m/s最大加速度:2.4g已发货DWSi 系列采用磁悬浮平面电机驱动,平面光栅干涉位移测量。用于ArFi光刻机,产率150片/小时运动平均偏差:2.5nm运动标准偏差:5nm最大速度:1.5m/s最大加速度:3.2g研发中u 公司目前是国内首家自主研发并实现光刻机双工件台商业化生产的企业,是国产高端光刻机龙头企业上海微电子的双工件台产品及技术开发的供应商。针对国产高端IC前道光刻机的需求推出DWS和DWSi两种系列的双工件台,可根据客户定制化需求提供技术开发服务和产品。DWS系列双工件台主要适用于干式步进式扫描光刻机(65nm及以上工艺节点IC前道光刻机),DWSi 系列双工件台适用于浸没式光刻机(45nm及以下工艺节点 IC 前道光刻机,目前处于研发阶段)。华卓精科光刻机双工件台产品5.115.11 华卓精科(工件台):国内首家自主研发并商业化的双工件台厂商华卓精科(工件台):国内首家自主研发并商业化的双工件台厂商

    下载